$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Abstract AI-Helper 아이콘AI-Helper

As an interconnect scaling faces a technical bottleneck, the device stacking technologies have been developed for miniaturization, low cost and high performance. To manufacture a stacked device structure, a vertical interconnect becomes a key process to enable signal and power integrities. Most bond...

주제어

표/그림 (17)

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 본 논문은 차세대 적층 소자 패키징 공정 중 구리 본딩의 중요성과 특히 Cu-SiO2 하이브리드 공정의 필요성, 종류, 그리고 저온 구리 본딩에 대한 연구 동향을 설명하였다. 구리는 산소와 쉽게 반응하여 구리 산화물을 형성하기 때문에 구리 산화물 제거 방법과 추가 산화방지 방법이 중요하며, 특히 Cu-SiO2 하이브리드 본딩은 구조 상표면 평탄화 공정이 반드시 필요하고 구리 디싱 조절이 매우 핵심적인 공정이다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
Cu-SiO2 하이브리드 본딩 공정이란? Cu-SiO2 하이브리드 본딩 공정은 일반적으로 Fig. 3과같이 산화물 높이 저감 기술(oxide recess control method)과 구리 디싱 제어 기술(Cu dishing control method)로 설명할 수 있다. Fig.
본딩 공정의 종류는? 본딩 공정의 종류에는 금속 대 금속(metal-to-metal) 본딩, 산화물 대 산화물(oxide-to-oxide) 본딩, 고분자 대 고분자(polymer-to-polymer) 본딩, 그리고 금속과 산화물 또는 금속과 고분자를 동시에 본딩하는 하이브리드(hybrid)본딩이 있다.1,2) 이 중 금속 대 금속 본딩이 열적 기계적 신뢰성이 좋을 뿐 아니라 전기적 특성도 매우 우수하여 소자 적층 시 매우 적합한 공정이다.
패시베이션(passivation)하는 방법의 장점 플라즈마 전처리 방법 중에는 구리 표면의 자연산화구리를 제거하고 구리 표면을 구리 질화물로 패시베이션(passivation)하는 방법이 있다.5,8,35) 구리 질화물 박막은 구리 표면의 산화를 방지하고 억제할 뿐 아니라 화학양론(stoichiometry)과 결정구조에 따라서 100~470°C 사이에서 분해된다고 보고되어 있고,36) 이에 200°C 이하의 저온 Cu-SiO2 하이브리드 본딩에 높은 적용 가능성을 보이고 있다. 또한, Cu3N 박막이나 Cu4N 박막은 전기전도도가 반도체 이상으로 높다는 장점도 있다.
질의응답 정보가 도움이 되었나요?

참고문헌 (38)

  1. R. S. List, C. Webb, and S. E. Kim, "3D wafer stacking technology", Proc. Advanced Metallization Conference, San Diego (2002). 

  2. J. Q. Lu, J. Jay McMahon, and R. J. Gutmann, "3D Integration Using Adhesive, Metal, and Metal/Adhesive as Wafer Bonding Interfaces", Proc. MRS Symp., 1112, 1112-E02-01 (2008). 

  3. C. Ko and K. N. Chen, "Low temperature bonding technology for 3D integration", Microelectron. Reliab., 52, 302 (2012). 

  4. A. K. Panigrahy and K. N. Chen, "Low Temperature Cu-Cu Bonding Technology in Three-Dimensional Integration: An Extensive Review", J. Electron. Packaging, 140(1), 010801 (2018). 

  5. K. N. Chen, C. S. Tan, A. Fan, and R. Reif, "Abnormal Contact Resistance Reduction of Bonded Copper Interconnects in Three-Dimensional Integration During Current Stressing", Appl. Phys. Lett., 86(1), 011903 (2005). 

  6. H. Park and S. E. Kim, "Nitrogen passivation formation on Cu surface by $Ar-N_2$ plasma for Cu-to-Cu wafer stacking application", Microsyst. Technol., 25, 3847 (2019). 

  7. R. Patti, "Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs", Proc. IEEE, 94(6), 1214 (2006). 

  8. H. S. Park and S. E. Kim, "Two-Step Plasma Treatment on Copper Surface for Low Temperature Cu Thermo-Compression Bonding", IEEE Trnas. Comp. Packag. Manuf. Technol., 10(2), 332 (2019). 

  9. M. Park, S. Baek, S. Kim, and S. E. Kim, "Argon plasma treatment on Cu surface for Cu bonding in 3Dintegration and their characteristics", Appl. Surf. Sci., 324, 168 (2015). 

  10. H. Takagi, K. Kikuchi, R. Maeda, T. R. Chung, and T. Suga, "Surface activated bonding of Silicon wafers at room temperature", Appl. Phys. Lett., 68(16), 2222 (1996). 

  11. E. J. Jang, S. Hyun, H. J. Lee, and Y. B. Park, "Effect of Wet Pretreatment on Interfacial Adhesion Energy of Cu-Cu Thermocompression Bond for 3D IC Packages", J. Electron. Mater., 38, 2449 (2009). 

  12. A. Huffman, J. Lannon, M. Lueck, C. Gregory, and D. Temple, "Fabrication and Characterization of Metal-to-Metal Interconnect Structures for 3-D Integration", J. Instrum., 4(3), P03006 (2009). 

  13. K. N. Chen, C. S. Tan, A. Fan, and R. Reif, "Copper Bonded Layers Analysis and Effects of Copper Surface Conditions on Bonding Quality for Three-Dimensional Integration", J. Electron. Mater., 34(12), 1464 (2005). 

  14. C. S. Tan, D. F. Lim, S. G. Singh, S. K. Goulet, and M. Bergkvist, "Cu-Cu Diffusion Bonding Enhancement at Low Temperature by Surface Passivation Using Self-assembled Monolayer of Alkane-thiol", Appl. Phys. Lett., 95(19), 192108 (2009). 

  15. Y. P. Huang, Y. S. Chien, R. N. Tzeng, M. S. Shy, T. H. Lin, K. H. Chen, C. T. Chiu, J. C. Chiou, C. T. Chuang, W. Hwang, H. M. Tong, and K. N. Chen, "Novel Cu-to-Cu Bonding With Ti Passivation at $180^{\circ}C$ in 3-D Integration", IEEE Electron. Dev. Lett., 34(12), 1551 (2013). 

  16. Z. Liu, J. Cai, Q. Wang, L. Liu, and G. Zou, "Modified pulse laser deposition of Ag nanostructure as intermediate for low temperature Cu-Cu bonding", Appl. Surf. Sci., 445, 16 (2018). 

  17. H. Oprins, V. Cherman, T. Webers, A. Salahouelhadj, S. W. Kim, L. Peng, G. Van der Plas, and E. Beyne, "Characterization and Benchmarking of the Low Intertier Thermal Resistance of Three-Dimensional Hybrid Cu/Dielectric Wafer-to-Wafer Bonding", J. Electron. Packag., 139(1), 011008 (2017). 

  18. I. Jani, D. Lattard, P. Vivet, L. Arnaud, S. Cheramy, E. Beigne, A. Farcy, J. Jourdon, Y. Henrion, E. Deloffre, and H. Bilgen, "Characterization of Fine Pitch Hybrid Bonding Pads using Electrical Misalignment Test Vehicle", Proc. 69th Electronic Components and Technology Conference(ECTC), Las Vegas, 1926, IEEE (2019). 

  19. Y. Beilliard, R. Estevez, G. Parry, P. Mc Garry, L. Di Cioccio, and P. Couudrain, "Thermomechanical finite element modeling of $Cu-SiO_2$ direct hybrid bonding with a dishing effect on Cu surfaces", Int. J. Solids Struct., 117, 208 (2017). 

  20. G. Gao, L. Mirkarimi, T. Workman, G. Fountain, J. Theil, G. Guevara, P. Liu, B. Lee, P. Mrozek, M. Huynh, C. Rudolph, T. Werner, and A. Hanisch, "Low Temperature Cu Interconnect with Chip to Wafer Hybrid Bonding", Proc. 69th Electronic Components and Technology Conference(ECTC), Las Vegas, 628, IEEE (2019). 

  21. P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, and H. Grady, "Low cost of ownership scalable copper Direct Bond Interconnect 3D IC technology for three-dimensional integrated circuit applications", IEEE International Conference on 3D System Integration (3DIC), San Francisco (2009). 

  22. G. Gao, T. Workman, L. Mirkarimi, G. Fountain, J. Theil, G. Guevara, C. Uzoh, B. Lee, P. Liu, and P. Mrozek, "Chip to Wafer Hybrid Bonding with Cu Interconnect: High Volume Manufacturing Process Compatibility Study", International Wafer-Level Packaging Conference (IWLPC), San Jose (2019). 

  23. R. He, M. Fujino, A. Yamauchi, and T. Suga, "Combined Surface Activated Bonding Technique for Hydrophilic $SiO_2-SiO_2$ and Cu-Cu Bonding", ECS Transactions, 75(9), 117 (2016). 

  24. T. Wlanis, R. Hammer, W. Ecker, S. Lhostis, C. Sart, S. Gallois-Garreignot, B. Rebhan, and G. A. Maier, " $Cu-SiO_2$ hybrid bonding simulation including surface roughness and viscoplastic material modeling: A critical comparison of 2D and 3D modeling approach", Microelectron. Reliab., 86, 1 (2018). 

  25. K. N. Chen, Z. Xu, and J. Q. Lu, "Demonstration and Electrical Performance Investigation of Wafer-Level Cu Oxide Hybrid Bonding Schemes", IEEE Electron Device Lett., 32(8), 1119 (2011). 

  26. S. Kim, P. Kang, T. Kim, K. Lee, J. Jang, K. Moon, H. Na, S. Hyun, and K. Hwang, "Cu Microstructure of High Density Cu Hybrid Bonding Interconnection", Proc. 69th Electronic Components and Technology Conference (ECTC), Las Vegas, 636, IEEE (2019). 

  27. J. Morrison, R. Fontaine, D. James, and D. Yang, "Samsung Galaxy S7 Edge Teardown", April (2016) from http://www.chipworks.com/about-chipworks/overview/blog/samsung-galaxy-s7-edge-teardown 

  28. P. Morrow, M. J. Kobrinsky, S. Ramanathan, C. M. Park, M. Harmes, V. Ramachandrarao, H. Park, G. Kloster, S. List, and S. Kim, "Wafer-Level 3D Interconnects Via Cu Bonding", Proc. Advanced Metallization Conference, San Diego (2004). 

  29. P. R. Morrow, C. M. Park, S. Ramanathan, M. J. Kobrinsky, and M. Harmes, "Three-Dimensional Wafer Stacking Via Cu-Cu Bonding Integrated With 65-nm Strained-Si/Low-k CMOS Technology", IEEE Electron Device Lett., 27(5), 335 (2006). 

  30. Z. J. Hu, X. P. Qu, H. Lin, R. D. Huang, X. C. Ge, M. Li, S. M. Chen, and Y. H. Zhao, "Cu CMP process development and characterization of Cu dishing with $1.8\;{\mu}m$ Cu pad and $3.6\;{\mu}m$ pitch in $Cu/SiO_2$ hybrid bonding", Jap. J. Appl. Phys., 58(SH), SHHC01 (2019). 

  31. L. Di Cioccio, P. Gueguen, R. Taibi, D. Landru, G. Gaudin, C. Chappaz, F. Rieutord, F. de Crecy, I. Radu, L. L. Chapelon, and L. Clavelier, "An Overview of Patterned Metal/Dielectric Surface Bonding: Mechanism, Alignment and Characterization", J. Electrochem. Soc., 158(6), 81 (2011). 

  32. C. M. Liu, H. W. Lin, Y. C. Chu, C. Chen, D. R. Lyu, K. N. Chen, and K. N. Tu, "Low-temperature direct copper-to-copper bonding enabled by creep on highly (111)-oriented Cu surfaces", Scr. Mater., 78-79, 65 (2014). 

  33. C. M. Liu1, H. W. Lin, Y. S. Huang, Y. C. Chu1, C. Chen, D. R. Lyu, K. N. Chen, and K. N. Tu, "Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu", Sci. Rep., 5, 9734 (2015). 

  34. J. Y. Juang, C. L. Lu, K. J. Chen, C. C. A. Chen, P. N. Hsu, C. Chen, and K. N. Tu, "Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient", Sci. Rep., 8, 13910 (2018). 

  35. H. Park and S. E. Kim, "Structural Characteristics of $Ar-N_2$ Plasma Treatment on Cu Surface", J. Microelectron. Packag. Soc., 25(4), 75 (2018). 

  36. R. Gonzalez-Arrabal R, N. Gordillo, M. Martin-Gonzalez, R. Ruiz-Bustos, and F. Agullo-Lopez, "Thermal stability of copper nitride thin films: The role of nitrogen migration", J. Appl, Phys,, 107(10), 103513, (2010). 

  37. R. He, M. Fujino, A. Yamauchi, Y. Wang, and T. Suga, "Combined Surface Activated Bonding Technique for Low-Temperature Cu/Dielectric Hybrid Bonding", ECS J. Solid State Sci. Technol., 5(7), 419 (2016). 

  38. J. Kim, K. Kim, H. Lee, H. Kim, Y. Park, and S. Hyun, "Characterization and observation of Cu-Cu Thermo-Compression Bonding using 4-point bending test system", J. Microelectron. Packag. Soc., 18(4), 11 (2011). 

저자의 다른 논문 :

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로