$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

[국내논문] 표면 반응 제어를 통한 영역 선택적 원자층 증착법 연구 동향
Area selective atomic layer deposition via surface reaction engineering: a review 원문보기

한국표면공학회지 = Journal of the Korean institute of surface engineering, v.55 no.6, 2022년, pp.328 - 341  

고은총 (서울과학기술대학교 신소재공학과) ,  안지상 (서울과학기술대학교 신소재공학과) ,  한정환 (서울과학기술대학교 신소재공학과)

Abstract AI-Helper 아이콘AI-Helper

Area selective atomic layer deposition (AS-ALD) is a bottom-up nanopattern fabrication method that can grow the ALD films only on the desired substrate areas without using photolithography and etching processes. Particularly, AS-ALD has attracted great attention in the semiconductor manufacturing pr...

주제어

표/그림 (9)

참고문헌 (50)

  1. R. W. Johnson, A. Hultqvist, S. F. Bent, A brief review of atomic layer deposition: from fundamentals to applications, Mater. Today, 17 (2014) 236-246. 

  2. A. J. M. Mackus, A. A. Bol, W. M. M. Kessels, The use of atomic layer deposition in advanced nanopatterning, Nanoscale, 6 (2014) 10941-10960. 

  3. R. Clark, Perspective: New process technologies required for future devices and scaling, APL. Mater., 6 (2018) 058203. 

  4. A. Mameli, Selective atomic layer deposition and etching of oxides, Technische Universiteit, Eindhoven (2018) 7-10. 

  5. N. Pinna, M. Knez, Atomic layer deposition of nanostructured materials, Wiley-VCH verlag GmbH & Co KGaA, Weinheim (2012). 

  6. K. Cao, J. Cai, X. Liu, R. Chen, Review Article: Catalysts design and synthesis via selective atomic layer deposition, J. Vac. Sci. Tech. A, 36 (2018) 010801. 

  7. R. Chen, H. Kim, P.C. McIntyre, S.F. Bent, Self-assembled monolayer resist for atomic layer deposition of HfO 2 and ZrO 2 high-k gate dielectrics, Appl. Phys. Lett., 84 (2004) 4017. 

  8. J. Hong, D. W. Porter, R. Sreenivasan, P.C. McIntyre, S.F. Bent, ALD resist formed by vapor-deposited self-assembled monolayers, Langmuir, 23 (2007) 1160-1165. 

  9. M. H. Park, Y. J. Jang, H. M. S. Suh, M. M. Sung, Selective atomic layer deposition of titanium oxide on patterned self-assembled monolayers formed by microcontact printing, Langmuir, 20 (2004) 2257-2260. 

  10. J. Liu, Y. Mao, E. Lan, D.R. Banatao, G.J. Forse, J. Lu, H.O. Blom, T.O. Yeates, B. Dunn, J.P. Chang, Generation of oxide nanopatterns by combining self-assembly of S-layer proteins and area-selective atomic layer deposition, J. Am. Chem. Soc., 130 (2008) 16908-16913. 

  11. E. Farm, M. Kemell, M. Ritala, M. Leskela, Selective-area atomic layer deposition using poly(methyl methacrylate) films as mask layers, J. Phys. Chem. C, 112 (2008) 15791-15795. 

  12. A. J. M. Mackus, M. J. M. Merkx, W. M. M. Kessels, From the bottom-up: toward area-selective atomic layer deposition with high selectivity, Chem. Mater., 31 (2019) 2-12. 

  13. A. Ulman, Formation and structure of self-assembled monolayers, Chem. Rev, 96 (1996) 1533. 

  14. R. Chen, H. Kim, P.C. McIntyre, D.W. Porter, S.F. Bent, Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification, Appl. Phys. Lett., 86 (2005) 13. 

  15. K. J. Park, J. M. Doub, T. Gougousi, G. N. Parsons, Microcontact patterning of ruthenium gate electrodes by selective area atomic layer deposition, Appl. Phys. Lett., 86 (2005) 051903. 

  16. K. Cao, Q. Zhu, B. Shan, R. Chen, Controlled synthesis of Pd/Pt core shell nanoparticles using area-selective atomic layer deposition, Sci. Rep., 5 (2015) 847. 

  17. E. Farm, M. Kemell, M. Ritala, M. Leskela, Selective-area atomic layer deposition using poly(vinyl Pyrrolidone) as a passivation layer, J. Electrochem. Soc., 157 (2010) K10. 

  18. A. Sinha, D. W. Hess, C. L. Henderson, Area selective atomic layer deposition of titanium dioxide: effect of precursor chemistry, J. Vac. Sci. Tech. B, 24 (2006) 2523. 

  19. V. Suresh, M. S. Huang, M. P. Srinivasan, S. Krishnamoorthy, In situ synthesis of high density sub-50 nm ZnO nanopatterned arrays using diblock copolymer templates, ACS. Appl. Mater. Interfaces, 5 (2013) 5727-5732. 

  20. X. Jiang, S. F. Bent, Area-selective atomic layer deposition of platinum on YSZ substates using microcontact printed SAMs, J. Electrochem. Soc., 154 (2007) D648. 

  21. H. B. R. Lee, J. Kim, H. Kim, W. H. Kim, J. W. Lee, I. Hwang, Degradation of the deposition blocking layer during area-selective plasma-enhanced atomic layer deposition of cobalt, J. Korean. Phys. Soc., 56 (2010) 104. 

  22. R. H. A. Ras, E. Sahramo, J. Malm, J. Raula, M. Karppinen, Blocking the lateral film growth at the nanoecale in area-selective atomic layer deposition, J. Am. Chem. Soc. 130 (2008) 11252-11253. 

  23. J. Yabrough, A. B. Shearer, S. F. Bent, Next generation nanopatterning using small molecule inhibitors for area-selective atomic layer deposition J. Vac. Sci. Technol. A, 39 (2021) 021002. 

  24. A. Y. Gil, J. A. Libera, J. W. Elam, Modulation of the growth per cycle in atomic layer deposition using reversible surface functionalization, Chem. Mater., 25 (2013) 4849-4860. 

  25. R. Khan, B. Shong, B. G. Ko, J. K. Lee, H. Lee, J. Y. Park, I.K. Oh, S. S. Raya, H. M. Hong, K.B.Chung, E. J. Luber, Y.S. Kim, C.H. Lee, W.H. Kim, H.B.R. Lee, Area-selective atomic layer deposition using Si precursors as inhibitors, Chem. Mater, 30 (2018) 7603-7610. 

  26. A. Mameli, Y. Kuang, M. Aghaee, C.K. Ande, B. Karasulu, M. Creatore, A.J.M Mackus, W.M.M. Kessels, F. Roozeboom, Area-selective atomic layer deposition of I 2 O 3 :H using a µ-plasma printer for local area activation, Chem. Mater, 29 (2017) 921925. 

  27. S. E. Atanasov, B. Kalanyan, G. N. Parsons, Inherent substrate-dependent growth initiation and selective-area atomic layer deposition of TiO 2 using "water-free" metal-halide/metal alkoxide reactants, J. Vac. Sci. Technol. A, 34 (2016) 01A148. 

  28. D. Dick, J. B. Ballard, R. C. Longo, J. N. Randall, K. Cho, Y. J. Chabal, Toward selective ultra-high-vacuum atomic layer deposition of metal oxides on Si(100), J. Phys. Chem. C, 120 (2016) 24213-24223. 

  29. Q. Tao, G. Jursich, C. G. Takoudis, Selective atomic layer deposition of HfO 2 on copper patterned silicon substrates, Appl. Phys. Lett, 96 (2010) 192105. 

  30. S. K. Selvaraj, J. Parulekar, C. G. Takoudis, Selective atomic layer deposition of zirconia on copper patterned silicon substrates using ethanol as oxygen source as well as copper reductant, J. Vac. Sci. Technol. A, 32 (2014) 010601. 

  31. J. Kwon, M. saly, M. D. Halls, R. K. Kanjolia, Y. J. Chabal, Substrate selectivity of (tBu-Allyl)Co(CO) 3 during thermal atomic layer deposition of cobalt, Chem. Mater. 24 (2012) 1025-1030. 

  32. M. Kim, S. Nabeya, S. M. Han, M. S. Kim, S. Lee, H. M. Kim, S. Y. Cho, D. J. Lee, S. H. Kim, K. B. Kim, Selective atomic layer deposition of metals on graphene for transparent conducting electrode application, ACS Appl. Mater. Interface, 12 (2020) 12. 

  33. H. B. R. Lee, S. H. Baeck, T. F. Jaramillo, S. F. Bent, Growth of Pt nanowires by atomic layer deposition on highly ordered pyrolytic draphite, Nano Lett, 13 (2013) 457-463. 

  34. J. Lee, J. M Lee, H. Oh, C. Kim, J. Kim, D. H. Kim, B. Shong, T. J. Park, W. H. Kim, Inherently area-selective atomic layer deposition of SiO 2 thin films to confer oxide versus nitride selectivity, Adv. Func. Mater., 31 (2021) 2102556. 

  35. K. B. Ramos, M. J. Saly, R. K. kanjoila, Y. J. Chabal, Atomic layer deposition of cobalt silicide thin films studied by in situ infrared spectroscopy, Chem. Mater, 27 (2015) 4943-4949. 

  36. M. M. Kerrigan, J. P. Klesko, C. H. Winter, Low temperature, selective atomic layer deposition of cobalt metal films using bis(1,4-di-tert-bytyl-1,3-diazadienyl) cobalt and alkylamine precursors, Chem. Mater., 29 (2017) 7458-7466. 

  37. X. Jiang, H. Wang, J. Qi, B. G. Willis, In-situ spectroscopic ellipsometry study of copper selective-area atomic layer deposition on palladium, J. Vac. Sci. Technol. A, 32 (2014) 041513. 

  38. J. Qi, D. T. Zimmerman, G. J. Weisel, B. G. Willis, Nucleation and growth of copper selective area atomic layer deposition on palladium nanostructures, J. Chem. Phys., 147 (2017) 154702. 

  39. A. J. M. Mackus, J. J. L. Mulders, M. C. M. Van De Sanden, W. M. M. Kessels, Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition, J. Appl. Phys., 107 (2010) 116102. 

  40. A. J. M. Mackus, M. A. Verheijenm N. Leick, A. A. Bol, W. M. M Kessels, Influence of oxygen exposure on the nucleation of platinum atomic layer deposition: consequences for film growth, nanopatterning, and nanoparticle synthesis, Chem. Mater, 25 (2013) 1905-1911. 

  41. J. A. Singh, N. F. W. Thissen, W. H. Kim, H. Johnson, W. M. M. Kessels, A. A. Bol, S. F. Bent, A. J. M. Mackus, Area-selective atomic layer deposition of metal oxides on noble metals through catalytic oxygen activation, Chem. Mater, 30 (2018) 663-670. 

  42. J. L. Lu, J. W. Elam, P. C. Stair, Synthesis and stabilization of supported metal catalysts by atomic layer deposition, Acc. Chem. Res., 46 (2013) 1806-1815. 

  43. M. J. Weber, A. J. M. Mackus, M. A. Verheijen, C. V. D. Marel, W. M. M. Kessels, Supported core/shell bimetallic nanoparticles synthesis by atomic layer deposition, Chem. Mater., 24 (2012) 2973-2977. 

  44. B. G. Willis, J. Qi, X. Jiang, J. Chen, G. J. Weisel, D. T. Zimmerman, Selective-area atomic layer deposition of copper nanostructures for direct electro-optical solar energy conversion, ECS Trans., 64 (2014) 253-263. 

  45. A. J. M. Mackus, S. A. F. Dielissen, J. J. L. Mulders, W. M. M. Kessels, Nanopatterning by direct-write atomic layer deposition, Nanoscale, 4 (2012) 44777-4480. 

  46. F. S. M. Hashemi, S. F. Bent, Sequential regeneration of self-assembled monolayers for highly selective atomic layer deposition, Adv. Mater. Interfaces, 3 (2016) 1600464. 

  47. A. Mameli, M. J. M. Merkx, B. Karasulu, F. Roozeboom, W. M. M. Kessels, A. J. M. Mackus, Area-selective atomic layer deposition of SiO2 using acetylacetone as a chemoselective inhibitor in an ABC-type cycle, ACS Nano, 11 (2017) 9303-9311. 

  48. S. Seo, Reaction mechanism of area-selective atomic layer deposition for Al 2 O 3 nanopatterns, ACS Appl. Mater. Interfaces, 9 (2017) 41607-41617. 

  49. M. F. J. Vos, S. N. Chopra, M. A. Verheijen, J. G. Ekerdt, S. Agarwal, W.M.M Kessels, A. J. M. Mackus, Area-selective deposition of ruthenium by combining atomic layer deposition and selective etching, Chem. Mater, 31 (2019) 3878-3882. 

  50. S. M. George, Y. Lee, Prospects for thermal atomic layer etching using sequentialsaf, self-limiting fluorination and ligand-exchange reactions, ACS Nano, 10 (2016) 4889-4894. 

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로