$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

3D 칩 적층을 위한 하이브리드 본딩의 최근 기술 동향
Recent Progress of Hybrid Bonding and Packaging Technology for 3D Chip Integration 원문보기

반도체디스플레이기술학회지 = Journal of the semiconductor & display technology, v.22 no.4, 2023년, pp.38 - 47  

정철화 (서울시립대학교 지능형반도체학과) ,  정재필 (서울시립대학교 신소재공학과)

Abstract AI-Helper 아이콘AI-Helper

Three dimensional (3D) packaging is a next-generation packaging technology that vertically stacks chips such as memory devices. The necessity of 3D packaging is driven by the increasing demand for smaller, high-performance electronic devices (HPC, AI, HBM). Also, it facilitates innovative applicatio...

주제어

참고문헌 (46)

  1. J. H. Lau, "Recent Advances and Trends in Advanced Packaging," in IEEE Transactions on Components, Packaging and Manufacturing Technology,?vol. 12, no. 2, pp. 228-252, Feb (2022). 

  2. Santosh Kumar, Status of Advanced Packaging?Industry 2020, Yole Development (2020). 

  3. Tsai, W. S., Huang, C. Y., Chung, C. K., Yu, K. H., &?Lin, C. F. Generational changes of flip chip interconnection technology. Proceedings of Technical Papers?- International Microsystems, Packaging, Assembly,?and Circuits Technology Conference, IMPACT,?2017-Octob(153), 306-310 (2017). 

  4. Nokibul Islam, M-C Hsieh, K. KeonTaek, V.?Pandey,"Fine pitch Cu pillar Assembly Challenges?for Advanced Flip Chip Package", International?Wafer Level Packaged Conference, San Jose, CA,?Oct (2017). 

  5. Sk hynix, Competitive Edge of SKH'S HBM, Tech?Seminar (2023). 

  6. K. J. Cho, J. H. Kim, "Design and analysis of high?bandwidth memory (HBM) interposer considering?signal and power integrity (SI/PI) for terabyte/s?bandwidth system", KAIST, EE-Theses_Master, p 56,?Aug (2016). 

  7. C. Zhiwen, Z. Jiaju, W. Shizhao, C.P. Wong, "Challenges and prospects for advanced packaging",?Fundamental Research (2023) 

  8. C. Drechsel, P. Carazzetti, C. Wang, K. Viehweger, J.?Weichart and E. Strolz, "Optimum Rc Control and?Productivity Boost in Wafer-Level Packaging Enabled by High- Throughput UBM/RDL Technology,"?2023 IEEE 73rd Electronic Components and?Technology Conference (ECTC), Orlando, FL, USA,?pp. 126-131 (2023). 

  9. Heterogenous Integration Roadmap, Wafer-Level?Packaging(WLP), Ch23 (2021). 

  10. L.Y Gao, C.F Li, P. Wan, H. Zhang, Z.Q Liu, "The?diffusion barrier effect of Fe-Ni UBM as compared?to the commercial Cu UBM during high temperature?storage," Journal of Alloys and Compounds, Volume?739 (2018). 

  11. K.S Choi, H.S Lee, H.C Bae, Y.S Oem, "Recent?Trends of Flip Chip Bonding Technology," 2013?Electronics and Telecommunications Trends, ETRI,?Volume 28, no.5 (2013). 

  12. Zainudin, W.Z.Z.W., Yong, T.C., Hui, T.C. et?al. Optimization of reflow profile for copper pillar?with SAC305 solder cap FCCSP. J Mater Sci: Mater?Electron 34, 187 (2023). 

  13. Kung Chuan, K. C., Sutiono, S., Senthil, B., Tim?Tiam, K. G., Sig, K. S., Kumar, R. S., Fen, Z. R., &?Li -San, C. Voids Reduction in Fine Pitch SiP?assembly through optimization of reflow parameters.?2020 IEEE 22nd Electronics Packaging Technology?Conference (EPTC), 291-296 (2020). 

  14. S. M. Yeo, H. -K. Yow, K. H. Yeoh and S. H. b. Ishak,?"Vacuum Reflow Process Optimization for Solder?Void Size Reduction in Semiconductor Packaging?Assembly," in IEEE Transactions on Components,?Packaging and Manufacturing Technology, vol. 12,?no. 8, pp. 1410-1420, Aug (2022). 

  15. I. Kaban, S. Mhiaoui, W. Hoyer, and J.-G. Gasser,?"Surface tension and density of binary lead and lead-free SN-based solders," J. Phys. Condens. Matter,?vol. 17, no. 50, p. 7867, Dec. (2005). 

  16. K. Sweatman, T. Nishimura, K. Sugimoto, and A.?Kita, "Controlling voiding mechanisms in the reflow?soldering process," in Proc. IPC APEX Exp., Art. no.?149451126 (2016). 

  17. Kumar, S., Mallik, S., Ekere, N. et al. Stencil printing behavior of lead-free Sn-3Ag-0.5Cu solder paste?for wafer level bumping for Sub-100 ㎛ size solder?bumps. Met. Mater. Int. 19, 1083-1090 (2013). 

  18. C. -Y. Huang et al., "Analysis of Warpage and Stress?Behavior in a Fine Pitch Multi-Chip Interconnection?with Ultrafine-Line Organic Substrate (2.1D)," 2018?IEEE 68th Electronic Components and Technology?Conference (ECTC), San Diego, CA, USA, pp. 631-637 (2018). 

  19. A. Mackie, H. Jo, S.P Lim, "Flip-Chip Flux Evolution," IMAPS 2019, Boston, USA (2019). 

  20. P. R. Chowdhury et al., "Assembly Process and?Application Studies of Pre-Applied Underfill Non-Conductive Film (NCF) and Non-Conductive Paste?(NCP) for Advanced Packages," 2021 IEEE 71st?Electronic Components and Technology Conference?(ECTC), San Diego, CA, USA, pp. 1971-1977?(2021). 

  21. P. Sun, Y. Yan and L. CAO, "Thermal Compression?Bonding Process Development for C2W Stacking in?3D Package," 2020 21st International Conference on?Electronic Packaging Technology (ICEPT), Guangzhou, China, pp. 1-4 (2020). 

  22. Samsung Electronis, Samsung Memory Tech Day?2023, Oct 20, Sab Jose, California, USA (2023). 

  23. T. Nonaka et al., "High throughput thermal compression NCF bonding," 2014 IEEE 64th Electronic?Components and Technology Conference (ECTC),?Orlando, FL, USA, 2014, pp. 913-918 (2014). 

  24. J. -W. Shin, Y. S. Kim, H. G. Lee, U. B. Kang, S. K.?Seo and K. -W. Paik, "Effects of thermo-compression?bonding parameters on joint formation of micro-bumps in non-conductive film (NCF)," 2015 IEEE?65th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA (2015). 

  25. S. Na et al., "Next Gen Laser Assisted Bonding?(LAB) Technology," 2022 IEEE 72nd Electronic?Components and Technology Conference (ECTC),?San Diego, CA, USA, pp. 1991-1995 (2022). 

  26. P. R. Chowdhury et al., "Thermo-mechanical Analysis of Thermal Compression Bonding Chip-Join Process," 2022 IEEE 72nd Electronic Components and?Technology Conference (ECTC), San Diego, CA,?USA, pp. 579-585 (2022). 

  27. Alves Braganca, Wagno&Kyungoe, Kim & Youngcheol, Kim. Development of a laser-assisted bonding?process for a flip-chip die with backside metallization (2020). 

  28. I. Hsu, C. -Y. Chen, S. Lin, T. -J. Yu, N. Cho and M.?-C. Hsieh, "7nm Chip-Package Interaction Study on?a Fine Pitch Flip Chip Package with Laser Assisted?Bonding and Mass Reflow Technology," 2019 IEEE?69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, pp. 289-293?(2019). 

  29. A. Kolbasow, T. Kubsch, M. Fettke, G. Friedrich and?T. Teutsch, "Vertical Laser Assisted Bonding for?Advanced "3.5D" Chip Packaging," 2019 IEEE 69th?Electronic Components and Technology Conference?(ECTC), Las Vegas, NV, USA, pp. 210-217 (2019). 

  30. K. -S. Choi et al., "Enhanced Performance of Laser-Assisted Bonding with Compression (LABC) Compared with Thermal Compression Bonding (TCB)?Technology," 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las?Vegas, NV, USA, pp. 197-203 (2019). 

  31. J. Wuu et al., "3D V-Cache: the Implementation of a?Hybrid-Bonded 64MB Stacked Cache for a 7nm?x86-64 CPU," 2022 IEEE International Solid- State?Circuits Conference (ISSCC), San Francisco, CA,?USA, pp. 428-429 (2022). 

  32. Lee, YG., McInerney, M., Joo, YC. et al. Copper?Bonding Technology in Heterogeneous Integration.?Electron. Mater. Lett. (2023). 

  33. Min-Hsun Yu, Jia-Juen Ong, Dinh-Phuc Tran, WeiLan Chiu, Wei-You Hsu, Huai-En Lin, Yu-An Chen,?Hsiang-Hou Tseng, Guan-You Shen, Shih-Chi Yang,?Chih Chen, Low temperature Cu/SiO 2 hybrid bonding via -oriented nanotwinned Cu with Ar?plasma surface modification, Applied Surface?Science, Volume 636, 157854 (2023). 

  34. Y. Kagawa et al., "Development of face-to-face and?face-to-back ultra-fine pitch Cu-Cu hybrid bonding,"?2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA,?pp. 306-311 (2022). 

  35. M.-H. Roh, A. Sharma, J.-H. Lee, and J. P. Jung,?"Extrusion Suppression of TSV Filling Metal by Cu-W Electroplating for Three-Dimensional Microelectronic Packaging", Metallurgical and Materials Transactions A, 46, 2051-2062 (2015). 

  36. H. K. Seo, S. Eunkyung Kim, G. Kim, H. S. Park?and Y. -B. Park, "Effects of two-step plasma treatment on Cu and SiO2 surfaces for 3D bonding applications," 2020 IEEE 70th Electronic Components?and Technology Conference (ECTC), Orlando, FL,?USA, pp. 1677-1683 (2020). 

  37. W. -L. Chiu, O. -H. Lee, C. -W. Chiang and H. -H.?Chang, "Low-Temperature Wafer-to-Wafer Hybrid?Bonding by Nanocrystalline Copper," 2022 IEEE?72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, pp. 679-684?(2022). 

  38. Cheng-Ta Ko, Kuan-Neng Chen, Low temperature?bonding technology for 3D integration, Microelectronics Reliability, Volume 52, Issue 2, 2012, Pages?302-311 (2012). 

  39. Chien-Min Liu, Han-wen Lin, Yi-Cheng Chu, Chih?Chen, Dian-Rong Lyu, Kuan-Neng Chen, K.N. Tu,?Low-temperature direct copper-to-copper bonding?enabled by creep on highly (111)-oriented Cu surfaces, Scripta Materialia, Volumes 78-79, Pages 65-68 (2014). 

  40. Tanaka, K.; Wang, W.-S.; Baum, M.; Froemel, J.;?Hirano, H.; Tanaka, S.; Wiemer, M.; Otto, T. Investigation of Surface Pre-Treatment Methods for?Wafer-Level Cu-Cu Thermo-Compression Bonding,?Micromachines, 7, 234 (2016). 

  41. J Fan, D F Lim, C S Tan, Effects of surface treatment?on the bonding quality of wafer-level Cu-to-Cu?thermo-compression bonding for 3D integration,?Journal of Micromechanics and Microengineering,?Volume 23, Number 4, 045025 (2013) 

  42. Nabil G. Mistkawi, Makarem A. Hussein, Malgorzata Ziomek-Moroz, Shankar B. Rananavare, Corrosion Behavior of Copper Thin Films in Organic HF-Containing Cleaning Solution for Semiconductor?Applications, Journal of Electrochemical Society,?Volume 157, Number 1 (2010). 

  43. Qiushi Kang, Ge Li, Zhengda Li, Yanhong Tian,?Chenxi Wang, Surface co-hydrophilization via ammonia inorganic strategy for low-temperature Cu/SiO 2 hybrid bonding, Journal of Materials Science &?Technology, Volume 149, Pages 161-166 (2023). 

  44. Jia-Juen Ong, Dinh-Phuc Tran, Wei-Lan Chiu, Shih-Chi Yang, Min-Hsun Yu, Fang-Chun Shen, Hsiang-Hung Chang, Ou-Hsiang Lee, Chia-Wen Chiang,?Chin-Hung Wang, Wen-Wei Wu, Chih Chen,?Potassium hydroxide surface modification for low?temperature Cu/SiO2 hybrid bonding, Surfaces and?Interfaces, Volume 40, 103076 (2023). 

  45. Lee, Jae Hak & Ha, Tae & Lee, Chang & Song, Jun-Yeob & Yoo, Choong. Low temperature hybrid bonding using self-alignment (2010). 

  46. J. P. Mudrick, J. A. Sierra-Suarez, M. B. Jordan, T. A.?Friedmann, R. Jarecki and M. D. Henry, "Sub-10㎛?Pitch Hybrid Direct Bond Interconnect Development for?Die-to-Die Hybridization," 2019 IEEE 69th Electronic?Components and Technology Conference (ECTC), Las?Vegas, NV, USA, pp. 648-654 (2019). 

저자의 다른 논문 :

섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로