$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

FOWLP(Fan-out Wafer Level Packaging) 공정의 플라즈마 응용 기술
Plasma Application Technology of FOWLP (Fan-out Wafer Level Packaging) Process 원문보기

마이크로전자 및 패키징 학회지 = Journal of the Microelectronics and Packaging Society, v.30 no.1, 2023년, pp.42 - 48  

박세용 (한국공학대학교 신소재공학과) ,  이성의 (한국공학대학교 신소재공학과) ,  이희철 (한국공학대학교 신소재공학과) ,  김성용 (한국공학대학교 전자공학과) ,  박남선 ((주)제4기한국) ,  김경민 (한국공학대학교 신소재공학과)

초록
AI-Helper 아이콘AI-Helper

최근 모바일, IoT, 차량 등의 많은 산업군에서 발생하는 다양한 종류의 신호 및 전력 요구가 증가함에 따라 그에 맞는 성능 향상과 소형화에 대한 요구가 높아지고 있는 상황이다. 이러한 추세에 따라 고성능의 칩이 필요해지고 이러한 칩을 패키징 할 수 있는 고급 패키지 기술의 개발 필요성이 높아지고 있는 상황이다. 이러한 상황에서 FOWLP 공정 기술은 이에 맞는 적합한 기술이며 이 공정에서의 부족한 점을 개선하기 위하여 사용되고 연구되고 있는 플라즈마 응용 기술들에 대하여 본 논문에서 알아보았으며 크게 4가지 부분으로 나누어 각 부분에서 사용되는 플라즈마 응용 기술들에 대한 소개와 연구 사례를 설명한다.

Abstract AI-Helper 아이콘AI-Helper

Recently, there has been an increasing demand for performance improvement and miniaturization in response to the growing variety of signals and power demands in many industries such as mobile, IoT, and automotive. As a result, there is a high demand for high-performance chips and advanced packaging ...

주제어

표/그림 (8)

참고문헌 (47)

  1. J. Lau, M. Li, N. Fan, E. Kuah, Z. Li, K.H. Tan, T. Chen, I.?Xu, M. Li, Y.M. Cheung, and W. Kai, "Fan-out wafer-level?packaging (FOWLP) of large chip with multiple redistribution?layers (RDLs)", J. Microelectron. Electron. Packag., 14(4),?123-131 (2017). 

  2. V. S. Rao, C. T. Chong, D. Ho, D. M. Zhi, C. S. Choong, L.?P. Sharon, D. Ismael, and Y. Y. Liang, "Development of high?density fan out wafer level package (HD FOWLP) with multilayer fine pitch RDL for mobile applications", In 2016 IEEE?66th Electronic Components and Technology Conference?(ECTC), 1522-1529 (2016). 

  3. T. Braun, M. Topper, K. F. Becker, M. Wilke, M. Huhn, U.?Maass, I. Ndip, R. Aschenbrenner, and K. D. Lang, "Opportunities of fan-out wafer level packaging (FOWLP) for RF?applications", In 2016 IEEE 16th Topical Meeting on Silicon?Monolithic Integrated Circuits in RF Systems (SiRF), 35-37?(2016). 

  4. J. H. Lau, M. Li, D. Tian, N. Fan, E. Kuah, W. Kai, M. Li,?J. Hao, Y.M. Cheung, Z. Li, and K. H. Tan, "Warpage and?thermal characterization of fan-out wafer-level packaging",?IEEE Trans. Compon. Packaging. Manuf. Technol., 7(10),?1729-1738 (2017). 

  5. J. H. Lau, "Fan-out wafer-level packaging", Singapore: Springer?Singapore, (2018). 

  6. J. H. Lau and J. H. Lau, "System-in-package (sip)", Semiconductor Advanced Packaging, 27-74 (2021). 

  7. T. Hwang, D. Oh, J. Kim, E. Song, T. Kim, K. Kim, J. Lee,?and T. Kim, "The thermal dissipation characteristics of the?novel system-in-package technology (ICE-SiP) for mobile?and 3D high-end packages", In 2019 IEEE 69th Electronic?Components and Technology Conference (ECTC), 614-619?(2019). 

  8. J. Chen, Y. Xie, D. Trombley, and R. Murugan, "System codesign of a 600V GaN FET power stage with integrated?driver in a QFN system-in-package (QFN-SiP)", In 2019?IEEE 69th Electronic Components and Technology Conference (ECTC), 1221-1226 (2019). 

  9. W. Tian, C. Wang, Z. Zhao, and H. Cui, "Structures and materials of system-in-package: a review", Recent Pat. Mech.?Eng., 14(1), 28-41 (2021). 

  10. M. K. Shih, N. Y. Wu, W. H. Lai, T. Y. Chen, C. L. Kao, and?C. P. Hung, "Board-Level Drop Impact Reliability Analysis?of Dual-Side Molding System-in-Package (SiP) Modules",?IEEE Trans. Electron Devices, 70(1), 215-221 (2022). 

  11. J. Li, M. Tsai, R. Chiu, E. He, A. Hsieh, M. F. Tsai, F. Chu,?J. Y. Chen, S. Jian, S. Chen, and Y. P. Wang, "EMI shielding?technology in 5G RF system in package module", In 2020?IEEE 70th electronic components and technology conference?(ECTC), 931-937 (2020). 

  12. M. Tsai, R. Chiu, D. Huang, F. Kao, E. He, J. Y. Chen, S.?Chen, J. Tsai, and Y. P. Wang, "Innovative packaging solutions of 3D double side molding with system in package for?IoT and 5G application", In 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), 700-706 (2019). 

  13. A. Garnier, L. Castagne, F. Greco, T. Guillemet, L. Marechal,?M. Neffati, R. Franiatte, P. Coudrain, S. Piotrowicz, and G.?Simon, "System in package embedding III-V chips by fan-out?wafer-level packaging for RF applications", In 2021 IEEE?71st Electronic Components and Technology Conference?(ECTC), 2016-2023 (2021). 

  14. L. Ding, X. C. Wei, Z. Y. Tang, J. Wen, L. Gao, and R. X.?K. Gao, "Near-field scanning based shielding effectiveness?analysis of system in package", IEEE Trans. Compon. Packaging. Manuf. Technol., 11(8), 1235-1242 (2021). 

  15. V. Goyal, X. Wang, V. Bertacco, and R. Das, "Neksus: An?interconnect for heterogeneous system-in-package architectures", In 2020 IEEE International Parallel and Distributed?Processing Symposium (IPDPS), 12-21 (2020). 

  16. Y. S. Shao, J. Clemons, R. Venkatesan, B. Zimmer, M. Fojtik,?N. Jiang, B. Keller, A. Klinefelter, N. Pinckney, P. Raina, and?S. G. Tell, "Simba: Scaling deep-learning inference with multichip-module-based architecture", In Proceedings of the 52nd?Annual IEEE/ACM International Symposium on Microarchitecture, 14-27 (2019). 

  17. N. C. Abrams, Q. Cheng, M. Glick, M. Jezzini, P. Morrissey,?P. O'Brien, and K. Bergman, "Silicon photonic 2.5 D multichip module transceiver for high-performance data centers",?J. Light. Technol., 38(13), 3346-3357 (2020). 

  18. B. Ramakrishnan, M. Tradat, Y. Hadad, K. Ghose, and B.?Sammakia, "Characterization of Liquid Cooled Cold Plates?for a Multi Chip Module (MCM) and their Impact on Data?Center Chiller Operation", In 2019 IEEE 17th International?Conference on Industrial Informatics (INDIN), 1, 1419-1424?(2019). 

  19. R. Meade, S. Ardalan, M. Davenport, J. Fini, C. Sun, M.?Wade, A. W.-Gladstein, and C. Zhang, "TeraPHY: a high-density electronic-photonic chiplet for optical I/O from a multichip module", In 2019 Optical Fiber Communications Conference and Exhibition (OFC), 1-3 (2019). 

  20. G. Nan, Z. Xie, X. Guan, X. Ji, and D. Lin, "Constructal?design for the layout of multi-chip module based on thermalflow-stress coupling calculation", Microelectron. Reliab., 127,?114417 (2021). 

  21. Y. C. Son, "Fan-out wafer-level packaging (FOWLP) 기술 동향", Electrical & Electronic Materials, 34(2), 4-11 (2021). 

  22. Z. Cai, Y. Ding, Z. Wu, Z. Zhang, Y. Su, and Z. Chen, "An?All-Wet, Low Cost RDL Fabrication Process with Electroless?Plated Seed/Barrier Layers", In 2021 IEEE International?Interconnect Technology Conference (IITC), 1-4 (2021). 

  23. F. Franco, R. A. Dias, J. Gaspar, S. C. de Freitas, and P. P.?Freitas, "Hybrid rigid-flexible magnetoresistive device based?on a wafer level packaging technology for micrometric proximity measurements", IEEE Sens. J., 19(24), 12363-12368?(2019). 

  24. J. Zhao, "Plasma Applications for Wafer Level Packaging?Part 1. In 2019 20th International Conference on Electronic?Packaging Technology (ICEPT), 1-4 (2019). 

  25. G. Ezhilarasu, "Flexible, Heterogeneously Integrated microLED?Displays in Elastomeric Substrates Using Fan-Out Wafer-Level?Packaging", University of California, Los Angeles (2021). 

  26. P. Nimbalkar, P. Bhaskar, C. Blancher, M. Kathaperumal, M.?Swaminathan, and R. Tummala, "Novel zero side-etch process for < 1μm package redistribution layers", In 2022 IEEE?72nd Electronic Components and Technology Conference?(ECTC), 2168-2173 (2022). 

  27. C. K. Chung, M. Q. Tsai, P. H. Tsai, and C. Lee, "Fabrication?and characterization of amorphous Si films by PECVD for?MEMS", J. Micromech. Microeng., 15(1), 136 (2004). 

  28. J. H. Lau, "Redistribution-layers for fan-out wafer-level packaging and heterogeneous integrations", In 2019 China Semiconductor Technology International Conference (CSTIC), 1-10 (2019). 

  29. J. H. Lau, "2D, 2.1 D, and 2.3 D IC Integration. In Semiconductor Advanced Packaging", Singapore: Springer Singapore, 239-298 (2021). 

  30. F. Wang, Q. Liu, X. Wang, J. Li, G. Zhang, and R. Sun, "Nondestructive Laser Debonding of Designable Responsive and?Buffer Layers for Wafer Level Packaging", In 2022 23rd?International Conference on Electronic Packaging Technology?(ICEPT), 1-4 (2022). 

  31. Y. M. Lin, W. L. Chiu, C. J. Chen, H. E. Ding, O. H. Lee,?A. Y. Lin, R. S. Cheng, S. T. Wu, T. C. Chang, H. H. Chang,?and W. C. Lo, "A novel multi-chip stacking technology development using a flip-chip embedded interposer carrier integrated in fan-out wafer-level packaging", In 2021 IEEE 71st?Electronic Components and Technology Conference (ECTC),?1076-1081 (2021). 

  32. J. H. Lau, "Recent Advances and Trends in Multiple System?and Heterogeneous Integration with TSV-Less Interposers",?IEEE Trans. Compon. Packaging. Manuf. Technol. (2022). 

  33. C. Song and S. E. Kim, "Process and characterization of?photo-definable organic-inorganic dielectric for wafer level?packaging", Microsyst. Technol., 25, 4559-45655 (2019). 

  34. J. Dawes and M. L. Johnston, "Direct-Write 3D Printing of?Interconnects for Fan-Out Wafer-Level Packaging", In 2022?IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS), 1-4 (2022). 

  35. M. J. Li, "DENSE 3D HETEROGENEOUS INTEGRATION?USING SELECTIVE COBALT ALD DEPOSITION AND?RECONSTITUTED TIERS (Doctoral dissertation", Georgia?Institute of Technology (2021). 

  36. K. Son, S. Kim, C. Kim, G. Kim, Y. C. Joo, and Y. B. Park,?"Effect of Ta/Cu Film Stack Structures on the Interfacial?Adhesion Energy for Advanced Interconnects", J. Microelectron. Packag. Soc., 28(1), 39-46 (2021). 

  37. S. Dwarakanath, "Ultra-Low Dielectric Constant and UltraThin Polymer Dielectric Materials, Processes and Reliability?for Ultra-High Bandwidth Computing Applications", Doctoral dissertation, Georgia Institute of Technology (2020). 

  38. I. Berbezier, M. Aouassa, A. Ronda, L. Favre, M.O.N.I.C.A.?Bollani, R.O.M.A.N. Sordan, A. Delobbe, and P. Sudraud,?"Ordered arrays of Si and Ge nanocrystals via dewetting of?pre-patterned thin films", J. Appl. Phys., 113(6), 064908?(2013). 

  39. C. Wang, K. J. Chui, X. Wang, T. G. Lim, M. Yu, G. See, and?G. Yu, "Passive devices fabrication on FOWLP and characterization for RF applications", In 2017 IEEE 67th Electronic?Components and Technology Conference (ECTC), 312-318?(2017). 

  40. A. Cardoso, S. Kroehnert, R. Pinto, E. Fernandes, and I. Barros, "Integration of MEMS/Sensors in Fan-Out wafer-level?packaging technology based system-in-package (WLSiP)", In?2016 IEEE 18th Electronics Packaging Technology Conference (EPTC), 801-807 (2016). 

  41. Z. Chen, X. Zhang, S. P. S. Lim, S. S. B. Lim, B. L. Lau,?Y. Han, M. C. Jong, S. Liu, X. Wang, and Y. Andriani, "Wafer?level warpage modelling and validation for FOWLP considering effects of viscoelastic material properties under process?loadings", In 2019 IEEE 69th Electronic Components and?Technology Conference (ECTC), 1543-1549 (2019). 

  42. T. Uhrmann, J. Burggraf, and M. Eibelhuber, "Heterogeneous?integration by collective die-to-wafer bonding", In 2018 International Wafer Level Packaging Conference (IWLPC), 1-7?(2018). 

  43. S. P. S. Lim, S. C. Chong, M. Z. Ding, and V. S. Rao, "Development of Chip-to-Wafer (C2W) bonding process for high?density I/Os Fan-Out Wafer Level Package (FOWLP)", In?2016 IEEE 18th Electronics Packaging Technology Conference (EPTC), 435-440 (2016). 

  44. S. P. S. Lim, S. C. Chong, M. Z. Ding, and V. S. Rao, "Development of Chip-to-Wafer (C2W) bonding process for high?density I/Os Fan-Out Wafer Level Package (FOWLP)", In?2016 IEEE 18th Electronics Packaging Technology Conference (EPTC), 435-440 (2016).? 

  45. J. H. Lau and J. H. Lau, "Flip chip technology versus fowlp.?Fan-Out Wafer-Level Packaging", 21-68 (2018). 

  46. Y. M. Lin, S. T. Wu, C. M. Wang, C. H. Lee, S. Y. Huang,?A. Y. Lin, T. C. Chang, P. B. Lin, C. T. Ko, Y. H. Chen, and?J. Su, "An RDL-first fan-out panel-level package for heterogeneous integration applications", In 2019 IEEE 69th Electronic Components and Technology Conference (ECTC),?1463-1469 (2019). 

  47. S. P. S. Lim, V. Chidambaram, N. Jaafar, and W. Seit, "Development of 2.5 D high density device on large ultra-thin active?interposer", In 2019 IEEE 21st Electronics Packaging Technology Conference (EPTC), 247-252 (2019).? 

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

이 논문과 함께 이용한 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로