$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Process for PECVD of silicon oxide using TEOS decomposition 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B05D-003/06
출원번호 US-0262993 (1988-10-26)
발명자 / 주소
  • Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburgh CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San
출원인 / 주소
  • Applied Materials, Inc. (Santa Clara CA 02)
인용정보 피인용 횟수 : 293  인용 특허 : 2

초록

A high pressure, high throughput, single wafer, semiconductor processing reactor is disclosed which is capable of thermal CVD, plasma-enhanced CVD, plasma-assisted etchback, plasma self-cleaning, and deposition topography modification by sputtering, either separately or as part of in-situ multiple s

대표청구항

In a process for depositing silicon dioxide onto a substrate by exposing the substrate to plasma formed from a gas mixture which includes tetraethylorthosilicate, the steps of: positioning the substrate on a support within a vacuum chamber and adjacent a gas manifold which is an RF electrode and inc

이 특허에 인용된 특허 (2)

  1. Burt Dan L. (Phoenix AZ) Taraci Richard F. (Phoenix AZ) Zavion John E. (Mesa AZ), Method for forming a deposited silicon dioxide layer on a semiconductor wafer.
  2. Barbee Steven G. (Dover Plains NY) Devine Gregory P. (Poughquag NY) Patrick William J. (Newburgh NY) Seeley Gerard (Wappingers Falls NY), Method for vacuum vapor deposition with improved mass flow control.

이 특허를 인용한 특허 (293)

  1. Leedy, Glenn J, Adjacent substantially flexible substrates having integrated circuits that are bonded together by non-polymeric layer.
  2. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  3. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  4. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  6. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  7. Tsai Ken ; Ghanayem Steve ; Yudovsky Joseph ; Lai Ken, Anti-notch thinning heater.
  8. Tepman Avi (Cupertino CA), Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing.
  9. Leedy,Glenn J, Apparatus and methods for maskless pattern generation.
  10. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  11. Inushima Takashi,JPX ; Hayashi Shigenori,JPX ; Takayama Toru,JPX ; Odaka Masakazu,JPX ; Hirose Naoki,JPX, CVD apparatus.
  12. Wang, Deqi; Liu, Gang; Chandrashekar, Anand; Yang, Tsung-Han; Griswold, John W., Chamber conditioning for remote plasma process.
  13. Kang, Hu; Qian, Jun; LaVoie, Adrien, Chamber undercoat preparation method for low temperature ALD films.
  14. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  15. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  16. Lei Lawrence Chung-Lai ; Perlov Ilya ; Littau Karl Anthony ; Morrison Alan Ferris ; Chang Mei ; Sinha Ashok K., Chemical vapor deposition chamber.
  17. Sinha Ashok ; Chang Mei ; Perlov Ilya ; Littau Karl ; Morrison Alan ; Lei Lawrence Chung-Lai, Chemical vapor deposition chamber.
  18. Littau Karl ; Lei Lawrence Chung-lai, Chemical vapor deposition chamber with substrate edge protection.
  19. Sinha Ashok ; Chang Mei ; Perlov Ilya ; Littau Karl A. ; Morrison Alan F. ; Lei Lawrence Chung-Lai, Chemical vapor deposition of a thin film onto a substrate.
  20. Hanawa,Hiroji; Tanaka,Tsutomu; Collins,Kenneth S.; Al Bayati,Amir; Ramaswamy,Kartik; Nguyen,Andrew, Chemical vapor deposition plasma process using an ion shower grid.
  21. Hanawa,Hiroji; Tanaka,Tsutomu; Collins,Kenneth S.; Al Bayati,Amir; Ramaswamy,Kartik; Nguyen,Andrew, Chemical vapor deposition plasma process using plural ion shower grids.
  22. Hanawa, Hiroji; Tanaka, Tsutomu; Collins, Kenneth S.; Al-Bayati, Amir; Ramaswamy, Kartik; Nguyen, Andrew, Chemical vapor deposition plasma reactor having plural ion shower grids.
  23. Psaute Jean-Jacques H., Chemical vapor deposition velocity control apparatus.
  24. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  25. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  26. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  27. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  28. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Copper barrier reflow process employing high speed optical annealing.
  29. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer.
  30. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  31. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  32. Law Kam ; Robertson Robert ; Feng Jeffrey, Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors.
  33. Law Kam ; Robertson Robert ; Feng Guofu Jeff, Deposition of high quality conformal silicon oxide thin films on glass substrates.
  34. Zhang, Lin; Chen, Xiaolin; Li, DongQing; Pham, Thanh N.; Moghadam, Farhad K.; Li, Zhuang; Krishnaraj, Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  35. Zhang, Lin; Chen, Xiaolin; Li, DongQing; Pham, Thanh N.; Moghadam, Farhad K.; Li, Zhuang; Krishnaraj, Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  36. Zhang,Lin; Chen,Xiaolin; Li,DongQing; Pham,Thanh N; Moghadam,Farhad K; Li,Zhuang; Krishnaraj,Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  37. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  38. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  39. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  40. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  41. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  42. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  43. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  44. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  45. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  46. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  47. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  48. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  49. Cui, Lin; Park, Jason Daejin, Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems.
  50. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  51. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  52. Kim,Jisoo; Lee,Sangheon; Worsham,Binet A.; Charatan,Robert; Sadjadi,S.M. Reza, Etch with photoresist mask.
  53. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  54. Collins, Kenneth S.; Hanawa, Hiroji; Ye, Yan; Ramaswamy, Kartik; Nguyen, Andrew; Barnes, Michael S.; Nguyen, Huong Thanh, Externally excited torroidal plasma source with magnetic control of ion distribution.
  55. Maydan, Dan; Thakur, Randir P. S.; Collins, Kenneth S.; Al-Bayati, Amir; Hanawa, Hiroji; Ramaswamy, Kartik; Gallo, Biagio; Nguyen, Andrew, Fabrication of silicon-on-insulator structure using plasma immersion ion implantation.
  56. Rajagopalan, Nagarajan; Park, Ji Ae; Yamase, Ryan; Patel, Shamik; Nowak, Thomas; Xia, Li-Qun; Kim, Bok Hoen; Ding, Ran; Baldino, Jim; Naik, Mehul; Ramaswami, Sesh, Fabrication of through-silicon vias on silicon wafers.
  57. Rajagopalan, Nagarajan; Park, Ji Ae; Yamase, Ryan; Patel, Shamik; Nowak, Thomas; Xia, Li-Qun; Kim, Bok Hoen; Ding, Ran; Baldino, Jim; Naik, Mehul; Ramaswami, Sesh, Fabrication of through-silicon vias on silicon wafers.
  58. Nulman Jaim (Palo Alto CA), Film sheet resistance measurement.
  59. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  60. Leedy, Glenn J, Flexible and elastic dielectric integrated circuit.
  61. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  62. Ingle,Nitin K.; Wong,Shan; Xia,Xinyun; Banthia,Vikash; Bang,Won B.; Wang,Yen Kun V.; Yuan,Zheng, Gap-fill depositions in the formation of silicon containing dielectric materials.
  63. Vellaikal,Manoj; Mungekar,Hemant P.; Lee,Young S.; Okuno,Yasutoshi; Yuasa,Hiroshi, Gapfill using deposition-etch sequence.
  64. Kwan,Michael; Liu,Eric, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  65. Michael Kwan ; Eric Liu, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  66. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  67. Janakiraman, Karthik; Ingle, Nitin; Yuan, Zheng; Gianoulakis, Steven, Gas distribution showerhead.
  68. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  69. Buchberger, Jr.,Douglas A.; Hoffman,Daniel J.; Ramaswamy,Kartik; Nguyen,Andrew; Hanawa,Hiorji; Collins,Kenneth S.; Al Bayati,Amir, Gasless high voltage high contact force wafer contact-cooling electrostatic chuck.
  70. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  71. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  72. Li, Dongqing; Chen, Xiaolin C.; Zhang, Lin, HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features.
  73. Tan,Zhengquan; Li,Dongqing; Zygmunt,Walter, HDP-CVD deposition process for filling high aspect ratio gaps.
  74. Karim,M. Ziaul; Kapoor,Bikram; Wang,Anchuan; Li,Dong Qing; Ozeki,Katsunari; Vellaikal,Manoj; Li,Zhuang, HDP-CVD multistep gapfill process.
  75. Cheng, Chun-Ren; Chang, Yi-Hsien; Chang, Allen Timothy; Chen, Ching-Ray; Chu, Li-Cheng; Lin, Hung-Hua; Hsieh, Yuan-Chih; Chao, Lan-Lin, Handling layer for transparent substrate.
  76. Zhao Jun ; Sajoto Talex ; Selyutin Leonid, Heater for use in substrate processing apparatus to deposit tungsten.
  77. Ahmad, Farhan; Awdshiew, Michael; Jain, Alok; Kapoor, Bikram, High density plasma CVD process for gapfill into high aspect ratio features.
  78. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  79. Tetsuya Ishikawa ; Kaveh Niazi ; Tsutomu Tanaka ; Canfeng Lai ; Robert Duncan, High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers.
  80. Qi, Bo; Lee, Young S., High-throughput HDP-CVD processes for advanced gapfill applications.
  81. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  82. Jansen Frank (Walnut Creek CA) Krommenhoek Steven K. (Madison NJ) Belkind Abraham I. (North Plainfield NJ) Orban ; Jr. Zoltan (Franklin Park NJ), Hollow cathode array and method of cleaning sheet stock therewith.
  83. Kapoor, Bikram; Karim, M. Ziaul; Wang, Anchuan, Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology.
  84. Tan, Zhengquan; Li, Dongqing; Zygmunt, Walter; Ishikawa, Tetsuya, Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD.
  85. Krishnaraj, Padmanabhan; Ionov, Pavel; Lai, Canfeng; Cox, Michael Santiago; Shamouilian, Shamouil, In situ application of etch back for improved deposition into high-aspect-ratio features.
  86. Krishnaraj,Padmanabhan; Ionov,Pavel; Lai,Canfeng; Cox,Michael Santiago; Shamouilian,Shamouil, In situ application of etch back for improved deposition into high-aspect-ratio features.
  87. Felsenthal David ; Lee Chunghsin ; Sferlazzo Piero, In-line sputter deposition system.
  88. Karim,M. Ziaul; Li,DongQing; Byun,Jeong Soo; Pham,Thanh N., In-situ-etch-assisted HDP deposition using SiF.
  89. Karim, M. Ziaul; Li, DongQing; Byun, Jeong Soo; Pham, Thanh N., In-situ-etch-assisted HDP deposition using SiF4 and hydrogen.
  90. Schneider Gerhard ; Shel Viktor ; Nguyen Andrew ; Wu Robert W. ; Yin Gerald Z., Inductively coupled parallel-plate plasma reactor with a conical dome.
  91. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  92. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  93. Sandhu Gurtej S. ; Iyer Ravi, Integrated circuitry comprising electrically insulative material over interconnect line tops, sidewalls and bottoms.
  94. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  95. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  96. Nemani, Srinivas D.; Lee, Young S.; Yieh, Ellie Y.; Wang, Anchuan; Bloking, Jason Thomas; Han, Lung Tien, Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD.
  97. Lee, Young S.; Wang, Anchuan; Chan, Lan Chia; Venkataraman, Shankar, Integrated process modulation for PSG gapfill.
  98. Uiterwyk Robert E. ; Greenway Stephen B., Interactive video communication in real time.
  99. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  100. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  101. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  102. Yuan,Zheng; Venkataraman,Shankar; Ching,Cary; Wong,Shang; Mukai,Kevin Mikio; Ingle,Nitin K., Limited thermal budget formation of PMD layers.
  103. Leedy, Glenn J, Lithography device for semiconductor circuit pattern generation.
  104. Leedy,Glenn J, Lithography device for semiconductor circuit pattern generator.
  105. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  106. Hanawa,Hiroji; Ramaswamy,Kartik; Collins,Kenneth S.; Al Bayati,Amir; Gallo,Biagio; Nguyen,Andrew, Low temperature CVD process with selected stress of the CVD layer on CMOS devices.
  107. Spuller, Matthew; Agustin, Melody; Shek, Meiyee (Maggie Le); Xia, Li-Qun; Arghavani, Reza, Low temperature conformal oxide formation and applications.
  108. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  109. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Low temperature plasma deposition process for carbon layer deposition.
  110. Mungekar, Hemant P.; Wu, Jing; Lee, Young S.; Wang, Anchuan, Low wet etch rate silicon nitride film.
  111. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Manufacturing method of a thin film semiconductor device.
  112. Leedy,Glenn J, Membrane 3D IC fabrication.
  113. Leedy,Glenn J, Membrane 3D IC fabrication.
  114. Leedy,Glenn J, Membrane 3D IC fabrication.
  115. Leedy, Glenn J, Membrane IC fabrication.
  116. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  117. Nguyen Bang C. ; Vankataranan Shankar ; Liao Ruby ; Lee Peter W., Method and apparatus for elimination of TEOS/ozone silicon oxide surface sensitivity.
  118. Chandrasekaram Ramiah ; Jeffrey L. Young ; Neil L. Pagel, Method and apparatus for forming a borophosphosilicate film.
  119. Iyer Ravi ; Thakur Randhir P. S. ; Rhodes Howard E., Method and apparatus for reducing fixed charge in semiconductor device layers.
  120. Iyer, Ravi; Thakur, Randhir P. S.; Rhodes, Howard E., Method and apparatus for reducing fixed charge in semiconductor device layers.
  121. Iyer, Ravi; Thakur, Randhir P. S.; Rhodes, Howard E., Method and apparatus for reducing fixed charge in semiconductor device layers.
  122. Ravi Iyer ; Randhir P. S. Thakur ; Howard E. Rhodes, Method and apparatus for reducing fixed charge in semiconductor device layers.
  123. Saenger, Annette; Sell, Bernhard; Seidl, Harald; Hecht, Thomas; Gutsche, Martin, Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes.
  124. Kam S. Law ; Robert Robertson ; Pamela Lou ; Marc Michael Kollrack ; Angela Lee ; Dan Maydan, Method for depositing amorphous silicon thin films onto large area glass substrates by chemical vapor deposition at high deposition rates.
  125. Rossman Kent, Method for deposition of a conformal layer on a substrate.
  126. Nguyen Bang C. ; Vankataranan Shankar ; Liao Ruby ; Lee Peter W., Method for elimination of TEOS/ozone silicon oxide surface sensitivity.
  127. Becker David S. ; Blalock Guy T. ; Breiner Lyle D., Method for enhancing oxide to nitride selectivity through the use of independent heat control.
  128. Becker David S. ; Blalock Guy T. ; Roe Fred L., Method for enhancing oxide to nitride selectivity through the use of independent heat control.
  129. Becker,David S.; Blalock,Guy T.; Roe,Fred L., Method for enhancing silicon dioxide to silicon nitride selectivity.
  130. De Santi Giorgio,ITX ; Zanotti Luca,ITX ; Crisenza Giuseppe,ITX, Method for final passivation of integrated circuit.
  131. Kashiro Takeshi,JPX, Method for formation of multilayer film.
  132. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for front end of line fabrication.
  133. Hsu Shih-Ying,TWX ; Hsiao Chih-Hsiang,TWX ; Huang Heng-Sheng,TWX, Method for increasing etch removal rate of silicon oxynitride.
  134. Al Bayati,Amir; Roberts,Rick J.; Collins,Kenneth S.; MacWilliams,Ken; Hanawa,Hiroji; Ramaswamy,Kartik; Gallo,Biagio; Nguyen,Andrew, Method for ion implanting insulator material to reduce dielectric constant.
  135. Ichijo, Mitsuhiro; Kuriki, Kazutaka; Yokoi, Tomokazu; Endo, Toshiya, Method for manufacturing microcrystalline semiconductor and thin film transistor.
  136. Kam S. Law ; Robert Robertson ; Pamela Lou ; Marc Michael Kollrack ; Angela Lee ; Dan Maydan, Method for multilayer CVD processing in a single chamber.
  137. Wang David Nin-Kou ; White John M. ; Law Kam S. ; Leung Cissy ; Umotoy Salvador P. ; Collins Kenneth S. ; Adamik John A. ; Perlov Ilya ; Maydan Dan, Method for protecting against deposition on a selected region of a substrate.
  138. Rana Virendra V. S. ; Conners Andrew ; Gupta Anand ; Guo Xin ; Hong Soonil, Method for submicron gap filling on a semiconductor substrate.
  139. Dhas, Arul N.; Singhal, Akhil; Li, Ming; Boumatar, Kareem, Method for the reduction of defectivity in vapor deposited films.
  140. Becker David S. ; Blalock Guy T. ; Roe Fred L., Method of etching a substrate.
  141. Kang, Tae Woong; Ahn, Jong hyon, Method of fabricating multi-gate transistor and multi-gate transistor fabricated thereby.
  142. Ko, Jungmin, Method of fin patterning.
  143. Inushima Takashi,JPX ; Hayashi Shigenori,JPX ; Takayama Toru,JPX ; Odaka Masakazu,JPX ; Hirose Naoki,JPX, Method of forming a film on a substrate.
  144. Leedy,Glenn J, Method of information processing using three dimensional integrated circuits.
  145. Leedy,Glenn J, Method of making an integrated circuit.
  146. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Method of manufacturing a semiconductor device.
  147. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Method of manufacturing a semiconductor device.
  148. Yuuki Akimasa,JPX ; Kawahara Takaaki,JPX ; Tsutahara Kouitirou,JPX ; Yamaguchi Touru,JPX, Method of uniform CVD.
  149. Hanawa,Hiroji; Ramaswamy,Kartik; Collins,Kenneth S.; Nguyen,Andrew; Monroy,Gonzalo Antonio, Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements.
  150. Karim, M. Ziaul; Moghadam, Farhad K.; Salimian, Siamak, Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation.
  151. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  152. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  153. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  154. Leedy,Glenn J, Methods for maskless lithography.
  155. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  156. Varadarajan, Bhadri N.; Gong, Bo; Batzer, Rachel E.; Qiu, Huatan; van Schravendijk, Bart J.; Hohn, Geoffrey, Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma.
  157. Felsenthal David ; Lee Chunghsin ; Sferlazzo Piero, Multi-layer sputter deposition apparatus.
  158. Ingle, Nitin K.; Yuan, Zheng; Banthia, Vikash; Xia, Xinyun; Forstner, Hali J. L.; Pan, Rong, Multi-step anneal of thin films for film densification and improved gap-fill.
  159. Xia, Li-Qun; Yieh, Ellie, Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill.
  160. Yuan, Zheng; Arghavani, Reza; Venkataraman, Shankar, Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill.
  161. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  162. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  163. Nguyen,Andrew; Hanawa,Hiroji; Collins,Kenneth S.; Ramaswamy,Kartik; Al Bayati,Amir; Gallo,Biagio, O-ringless tandem throttle valve for a plasma reactor chamber.
  164. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  165. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  166. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  167. Mungekar,Hemant P.; Lee,Young S; Vellaikal,Manoj; Greig,Karen; Kapoor,Bikram, Oxygen plasma treatment for enhanced HDP-CVD gapfill.
  168. Yang, Haichun; Lu, Xinliang; Kao, Chien-Teh; Chang, Mei, Passivation layer formation by plasma clean process to reduce native oxide growth.
  169. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  170. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  171. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  172. Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Nguyen,Andrew; Al Bayati,Amir; Gallo,Biagio; Monroy,Gonzalo Antonio, Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage.
  173. Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Nguyen,Andrew; Al Bayati,Amir; Gallo,Biagio, Plasma immersion ion implantation process.
  174. Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Nguyen,Andrew; Al Bayati,Amir; Gallo,Biagio, Plasma immersion ion implantation process.
  175. Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Nguyen,Andrew; Al Bayati,Amir; Gallo,Biagio, Plasma immersion ion implantation process.
  176. Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Nguyen,Andrew; Al Bayati,Amir; Gallo,Biagio; Monroy,Gonzalo Antonio, Plasma immersion ion implantation process using a capacitively couple plasma source having low dissociation and low minimum plasma voltage.
  177. Collins, Kenneth S.; Hanawa, Hiroji; Ramaswamy, Kartik; Nguyen, Andrew; Al-Bayati, Amir; Gallo, Biagio; Monroy, Gonzalo Antonio, Plasma immersion ion implantation process using a plasma source having low dissociation and low minimum plasma voltage.
  178. Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Nguyen,Andrew; Al Bayati,Amir; Gallo,Biagio; Monroy,Gonzalo Antonio, Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage.
  179. Hanawa, Hiroji; Tanaka, Tsutomu; Collins, Kenneth S.; Al-Bayati, Amir; Ramaswamy, Kartik; Nguyen, Andrew, Plasma immersion ion implantation reactor having an ion shower grid.
  180. Hanawa, Hiroji; Tanaka, Tsutomu; Collins, Kenneth S.; Al-Bayati, Amir; Ramaswamy, Kartik; Nguyen, Andrew, Plasma immersion ion implantation reactor having multiple ion shower grids.
  181. Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Nguyen,Andrew; Al Bayati,Amir; Gallo,Biagio; Monroy,Gonzalo Antonio, Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage.
  182. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  183. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  184. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  185. Lin Been-Hon,TWX ; Peng Bing-Huei,TWX ; Liu Chung-Chieh,TWX, Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer.
  186. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  187. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  188. Wang David Nin-Kou ; White John M. ; Law Kam S. ; Leung Cissy ; Umotoy Salvador P. ; Collins Kenneth S. ; Adamik John A. ; Perlov Ilya ; Maydan Dan, Process for PECVD of silicon oxide using TEOS decomposition.
  189. Chien Hung-Ju,TWX ; Wang Chia-Cheng,TWX ; Lin Been-Hon,TWX, Process for forming a sausg inter metal dielectric layer by pre-coating the reactor.
  190. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing.
  191. Arai Michio,JPX ; Nakaya Kenji,JPX, Process for the production of an organic electroluminescent device.
  192. Collins, Kenneth S.; Hanawa, Hiroji; Nguyen, Andrew; Rauf, Shahid; Balakrishna, Ajit; Todorow, Valentin N.; Ramaswamy, Kartik; Salinas, Martin Jeffrey; Yousif, Imad; Merry, Walter R.; Rui, Ying; Rice, Michael R., Process for wafer backside polymer removal and wafer front side photoresist removal.
  193. Collins, Kenneth S.; Hanawa, Hiroji; Nguyen, Andrew; Balakrishna, Ajit; Palagashvili, David; Cruse, James P.; Sun, Jennifer Y.; Todorov, Valentin N.; Rauf, Shahid; Ramaswamy, Kartik; Schneider, Gerhard M.; Yousif, Imad; Salinas, Martin Jeffrey, Process for wafer backside polymer removal with a ring of plasma under the wafer.
  194. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  195. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  196. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  197. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  198. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  199. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  200. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  201. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  202. Rocha-Alvarez, Juan Carlos; Chen, Chen-An; Yieh, Ellie; Venkataraman, Shankar, Purge heater design and process development for the improvement of low k film properties.
  203. Collins, Kenneth S.; Hanawa, Hiroji; Ramaswamy, Kartik; Al-Bayati, Amir; Nguyen, Andrew; Gallo, Biagio, RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor.
  204. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  205. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  206. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  207. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  208. Mungekar, Hemant P.; Patel, Anjana M.; Vellaikal, Manoj; Wang, Anchuan; Kapoor, Bikram, Reactive ion etching for semiconductor device feature topography modification.
  209. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  210. Sugiura, Jun; Tsuchiya, Osamu; Ogasawara, Makoto; Ootsuka, Fumio; Torii, Kazuyoshi; Asano, Isamu; Owada, Nobuo; Horiuchi, Mitsuaki; Tamaru, Tsuyoshi; Aoki, Hideo; Otsuka, Nobuhiro; Shirai, Seiichirou, SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE HAVING A FIRST WIRING STRIP EXPOSED THROUGH A CONNECTING HOLE, A TRANSITION-METAL FILM IN THE CONNECTING HOLE AND AN ALUMINUM WIRING STRIP THEREOVER, AND A TRA.
  211. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  212. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  213. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  214. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  215. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  216. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  217. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  218. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  219. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  220. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  221. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  222. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  223. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  224. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  225. Jun Sugiura JP; Osamu Tsuchiya JP; Makoto Ogasawara JP; Fumio Ootsuka JP; Kazuyoshi Torii JP; Isamu Asano JP; Nobuo Owada JP; Mitsuaki Horiuchi JP; Tsuyoshi Tamaru JP; Hideo Aoki JP; Nobuhi, Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same.
  226. Sugiura Jun,JPX ; Tsuchiya Osamu,JPX ; Ogasawara Makoto,JPX ; Ootsuka Fumio,JPX ; Torii Kazuyoshi,JPX ; Asano Isamu,JPX ; Owada Nobuo,JPX ; Horiuchi Mitsuaki,JPX ; Tamaru Tsuyoshi,JPX ; Aoki Hideo,JP, Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same.
  227. Sugiura Jun,JPX ; Tsuchiya Osamu,JPX ; Ogasawara Makoto,JPX ; Ootsuka Fumio,JPX ; Torii Kazuyoshi,JPX ; Asano Isamu,JPX ; Owada Nobuo,JPX ; Horiuchi Mitsuaki,JPX ; Tamaru Tsuyoshi,JPX ; Aoki Hideo,JP, Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same.
  228. Sugiura, Jun; Tsuchiya, Osamu; Ogasawara, Makoto; Ootsuka, Fumio; Torii, Kazuyoshi; Asano, Isamu; Owada, Nobuo; Horiuchi, Mitsuaki; Tamaru, Tsuyoshi; Aoki, Hideo; Otsuka, Nobuhiro; Shirai, Seiichirou, Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same.
  229. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing.
  230. Al Bayati,Amir; Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Gallo,Biagio; Nguyen,Andrew, Semiconductor on insulator vertical transistor fabrication and doping process.
  231. Veeramma, Subhas Chandra Bose Jayappa, Semiconductor power device with passivation layers.
  232. Sandhu Gurtej S. ; Iyer Ravi, Semiconductor processing method of forming electrically conductive interconnect lines and integrated circuitry.
  233. Gurtej S. Sandhu ; Ravi Iyer, Semiconductor processing method of forming insulative material over conductive lines.
  234. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  235. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  236. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor substrate process using a low temperature deposited carbon-containing hard mask.
  237. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor substrate process using an optically writable carbon-containing mask.
  238. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  239. Huston, Joel M., Showerhead assembly.
  240. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  241. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  242. Nemani,Srinivas D.; Lee,Young S., Silicon oxide gapfill deposition using liquid precursors.
  243. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  244. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  245. Al Bayati,Amir; Collins,Kenneth S.; Hanawa,Hiroji; Ramaswamy,Kartik; Gallo,Biagio; Nguyen,Andrew, Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement.
  246. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  247. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  248. Leedy, Glenn J., Stacked integrated memory device.
  249. Rossman, Kent, Staggered in-situ deposition and etching of a dielectric layer for HDP CVD.
  250. Rossman,Kent, Staggered in-situ deposition and etching of a dielectric layer for HDP CVD.
  251. Rossman, Kent, Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD.
  252. Rossman,Kent, Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD.
  253. Leedy, Glenn J, Stress-controlled dielectric integrated circuit.
  254. Leedy, Glenn Joseph, Stress-controlled dielectric integrated circuit.
  255. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Substrate processing apparatus and a manufacturing method of a thin film semiconductor device.
  256. Shunpei Yamazaki JP; Hisashi Ohtani JP; Hiroyuki Shimada JP; Mitsunori Sakama JP; Hisashi Abe JP; Satoshi Teramoto JP, Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device.
  257. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  258. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  259. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  260. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  261. Leedy, Glenn J, Three dimension structure memory.
  262. Leedy, Glenn J, Three dimensional memory structure.
  263. Leedy, Glenn J, Three dimensional memory structure.
  264. Leedy, Glenn J, Three dimensional multi layer memory and control logic integrated circuit structure.
  265. Leedy,Glenn J, Three dimensional structure integrated circuit.
  266. Leedy, Glenn J, Three dimensional structure memory.
  267. Leedy, Glenn J, Three dimensional structure memory.
  268. Leedy, Glenn J., Three dimensional structure memory.
  269. Leedy, Glenn J., Three dimensional structure memory.
  270. Leedy, Glenn J., Three dimensional structure memory.
  271. Leedy, Glenn J., Three dimensional structure memory.
  272. Leedy, Glenn J., Three dimensional structure memory.
  273. Leedy, Glenn J., Three dimensional structure memory.
  274. Leedy, Glenn J., Three dimensional structure memory.
  275. Leedy,Glenn J, Three dimensional structure memory.
  276. Leedy,Glenn J., Three dimensional structure memory.
  277. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  278. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  279. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  280. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  281. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  282. Klein,Martin P.; Keigler,Arthur; Felsenthal,David, Ultra-thin wafer handling system.
  283. Yang, Dongqing; Tang, Jing; Ingle, Nitin, Uniform dry etch in two stages.
  284. Rasheed,Muhammad M.; Kim,Steven H, Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas.
  285. Chen, Jian; Higashitani, Masaaki, Use of voids between elements in semiconductor structures for isolation.
  286. Chen,Jian; Higashitani,Masaaki, Use of voids between elements in semiconductor structures for isolation.
  287. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  288. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  289. Leedy, Glenn J, Vertical system integration.
  290. Leedy, Glenn J, Vertical system integration.
  291. Leedy,Glenn J., Vertical system integration.
  292. Hanawa,Hiroji; Ramaswamy,Kartik; Collins,Kenneth S.; Al Bayati,Amir; Gallo,Biagio; Nguyen,Andrew, Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer.
  293. Chen Aihua ; Littau Karl A. ; Zhou Dashun S., Wafer edge deposition elimination.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로