$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

[국내논문] Cu-to-Cu 웨이퍼 적층을 위한 Cu CMP 특성 분석
Development of Cu CMP process for Cu-to-Cu wafer stacking 원문보기

마이크로전자 및 패키징 학회지 = Journal of the Microelectronics and Packaging Society, v.20 no.4, 2013년, pp.81 - 85  

송인협 (서울테크노파크 MSP센터) ,  이민재 (서울과학기술대학교 기계시스템디자인공학과) ,  김성동 (서울과학기술대학교 기계시스템디자인공학과) ,  김사라은경 (서울과학기술대학교 NID융합기술대학원)

초록
AI-Helper 아이콘AI-Helper

웨이퍼 적층 기술은 반도체 전 후 공정을 이용한 효과적인 방법으로 향후 3D 적층 시스템의 주도적인 발전방향이라고 할 수 있다. 웨이퍼 레벨 3D 적층 시스템을 제조하기 위해서는 TSV (Through Si Via), 웨이퍼 본딩, 그리고 웨이퍼 thinning의 단위공정 개발 및 웨이퍼 warpage, 열적 기계적 신뢰성, 전력전달, 등 시스템적인 요소에 대한 연구개발이 동시에 진행되어야 한다. 본 연구에서는 웨이퍼 본딩에 가장 중요한 역할을 하는 Cu CMP (chemical mechanical polishing) 공정에 대한 특성 분석을 진행하였다. 8인치 Si 웨이퍼에 다마신 공정으로 Cu 범프 웨이퍼를 제작하였고, Cu CMP 공정oxide CMP 공정을 이용하여 본딩 층 평탄화에 미치는 영향을 살펴보았다. CMP 공정 후 Cu dishing은 약 $180{\AA}$이었고, 웨이퍼 표면부터 Cu 범프 표면까지의 최종 높이는 약 $2000{\AA}$이었다.

Abstract AI-Helper 아이콘AI-Helper

Wafer stacking technology becomes more important for the next generation IC technology. It requires new process development such as TSV, wafer bonding, and wafer thinning and also needs to resolve wafer warpage, power delivery, and thermo-mechanical reliability for high volume manufacturing. In this...

주제어

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 실험에서 범프의 최종 높이는 Figure 1에 설명한 것처럼 Si 웨이퍼 표면부터 Cu 범프 표면까지로 정의하고 측정하였다. 그 이유는 웨이퍼 레벨 본딩에서 가장 중요한 요소 중 하나가 웨이퍼 전체의 평탄화 정도이기 때문에 본딩 층 범프의 최종 높이는 Cu 범프의 높이만이 아니라 웨이퍼 표면에서부터의 전체 높이를 분석하고자 함이다.
  • 먼저 본 실험에서 사용된 슬러리의 기본 공정에 대한 선행연구는 half-factorial의 DOE (design of experiment) 방법으로 진행하였으며, 4개의 입력변수(platen 속도, head 속도, 압력, 웨이퍼 압력, 링 압력)로 분석하였다. 본 연구에서는 Cu dishing이 낮고 웨이퍼 내 removal rate이 균일한 공정을 선택하여 Cu 범프 시편의 CMP 공정을 진행하였으며 웨이퍼 레벨 Cu-to-Cu 본딩을 위한 Cu CMP 공정의 특성을 살펴보았다. Cu CMP공정 후 시편 상태를 Figure 3에 예로 나타내었다.
  • 본 연구에서는 웨이퍼 본딩에 가장 중요한 역할을 하는 Cu CMP (chemical mechanical polishing) 공정에 대한 특성 분석을 진행하였다. 웨이퍼 레벨 Cu 본딩의 종류에는 Cu-to-Cu direct 본딩, Cu-oxide hybrid 본딩, Cu-polymer hybrid 본딩 등 이 있으며,7-10) 본딩 방법으로는 Cu 표면을 친수성으로 만든 후 상온, 상압에서 본딩하는 상온상압방법이 있고, 열과 압력을 가하여 본딩하는 열압착방법이 있다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
웨이퍼 적층 기술이란? 3D 적층 시스템이 각광을 받는 이유는 소자의 성능을 높일수 있고, 전력 소모를 줄이며, 모듈 응용에 적합한 form factor를 효과적으로 조절할 수 있으며, 또한 호환성이 없는 다른 공정기술을 집적화할 수 있는 강점들이 있기 때문이다.1-3) 적층 방법 중 웨이퍼 적층 기술은 반도체 전·후 공정을 동시에 이용한 보다 효율적인 방법이며, 향후 3D 적층 시스템의 주도적인 발전 방향이라고 할 수 있다. 웨이퍼 레벨 3D 적층 시스템을 제조하기 위해서는 크게 TSV(Through Si Via), 웨이퍼 본딩(bonding), 그리고 웨이퍼 thinning의 3가지 공정 개발이 필요하며, 각 단위 공정의 최적화를 위해 지금까지 많은 연구개발이 진행되어 왔으나, 대량생산을 위한 공정 최적화는 아직도 풀어야 할 부분이 많다.
Cu 본딩 공정에서 본딩 층의 평탄화가 중요한 이유는 무엇인가? 8, 12) 본딩의 종류나 방법에 상관없이 Cu 본딩 공정은 본딩 층의 평탄화가 매우 중요하며, 이를 위해선 최적화된 Cu CMP 공정 개발이 필수적이다. 이는 Cu 본딩 층의 평탄화 공정이 웨이퍼 간 얼라인먼트(alignment)와 본딩 strength 및 본딩 quality에 미치는 영향이 매우 크기 때문이다.
웨이퍼 레벨 3D 적층 시스템을 제조하기 위해 어떠한 공정 개발이 필요한가? 1-3) 적층 방법 중 웨이퍼 적층 기술은 반도체 전·후 공정을 동시에 이용한 보다 효율적인 방법이며, 향후 3D 적층 시스템의 주도적인 발전 방향이라고 할 수 있다. 웨이퍼 레벨 3D 적층 시스템을 제조하기 위해서는 크게 TSV(Through Si Via), 웨이퍼 본딩(bonding), 그리고 웨이퍼 thinning의 3가지 공정 개발이 필요하며, 각 단위 공정의 최적화를 위해 지금까지 많은 연구개발이 진행되어 왔으나, 대량생산을 위한 공정 최적화는 아직도 풀어야 할 부분이 많다. 특히 웨이퍼 warpage,4) 열적 기계적 신뢰성,5)전력전달,6) 등 시스템적인 요소에 대한 연구개발이 매우 필요한 상황이다.
질의응답 정보가 도움이 되었나요?

참고문헌 (18)

  1. R. S. List, C. Webb, and S. E. Kim, "3D wafer stacking technology", AMC, 29 (2002). 

  2. M. K. Choi, E. Kim, "Ultra-thinned Si wafer processing for wafer level 3D packaging", J. KWJS, 26(1), 12 (2008). 

  3. P. Morrow, M. Kobrinsky, M. Harmes, C. Park, S. Ramanathan, V. Ramachandrarao, "Wafer-level 3D interconnects via Cu bonding", AMC, 125 (2004). 

  4. Y. Kim, S. Kang, S. D. Kim, S. E. Kim, "Wafer warpage analysis of stacked wafers for 3D integration", Microelectron. Eng., 89, 46 (2012). 

  5. B. Vandevelde, C. Okoro, M. Gonzalez, B. Swinnen, E. Beyne, "Thermo-mechanics of 3D-wafer level and 3D stacked IC packaging technologies", IEEE EuroSimE, 1 (2008). 

  6. K. Oh, J. Ma, S. Kim, S. E. Kim, "Interconnect Process Technology for High Power Delivery and Distribution", J. Microelectron. Packag. Soc., 19(3), 9 (2012). 

  7. Y-S Tang, Y-J Chang, and K-N Chen, "Wafer-level Cu-Cu bonding technology", Microelectron. Reliab., 52, 312 (2012). 

  8. P. R. Morrow, C. M. Park, S. Ramanathan, M. J. Kobrinsky, M. Harmes, "Three-dimensional wafer stacking via Cu-Cu bonding integrated with 65-nm strained-Si/low-k CMOS technology", IEEE EDL, 27(5), 335 (2006). 

  9. A. Jourdain, S. Stoukatch, P. De Moor, W. Ruythooren, "Simultaneous Cu-Cu and compliant dielectric bonding for 3D stacking of ICs", IEEE IITC, 207 (2007). 

  10. J. Q. Lu, J. J. McMahon, R. J. Gutmann, "3D Integration using adhesive, metal, and metal/adhesive as wafer bonding interfaces", MRS Proceedings, 1112(1) (2008). 

  11. P. Y. H. Cho, S. E. Kim, S. Kim, "Wafer Level Bonding Technology for 3D Stacked IC", J. Microelectron. Packag. Soc., 20(1), 1(2013). 

  12. S. Kang, J. Lee, E. Kim, N. Lim, S. Kim, S. Kim, S. E. Kim, "Fabrication and Challenges of Cu-to-Cu Wafer Bonding", J. Microelectron. Packag. Soc., 19(2), 29 (2012). 

  13. E. Kim, M. Lee, S. Kim, S. E. Kim, "Ti/Cu CMP process for wafer level 3D integration", J. Microelectron. Packag. Soc., 19(3), 37 (2012). 

  14. E. Kim, M. Lee, S. E. Kim, S. Kim, "Cu CMP evaluation for 3D wafer stacking", IUMRS-ICA , MoP003 (2012). 

  15. Y. Ein-Eli and D. Starosvetsky, "Review on copper chemicalmechanical polishing (CMP) and post-CMP cleaning in ultra large system integrated (ULSI)-An electrochemical perspective", Electrochimica Acta, 52, 1825 (2007). 

  16. K. Gurnett, T. Adams, "Ultra-thin semiconductor wafer applications and process", Adv. Semicon. Mag., 19(4), 36-40 (2006). 

  17. Y-J Kang, D-H Eom, J-H Song, J-G Park, "The effect of pH adjustor in Cu Slurry on Cu CMP", PacRim-CMP, 197 (2004) 

  18. F. Spaepen, "Interfaces and stresses in thin films", Acta mater. 48, 31-42 (2000) 

저자의 다른 논문 :

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로