$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Analysis of Random Variations and Variation-Robust Advanced Device Structures 원문보기

Journal of semiconductor technology and science, v.14 no.1, 2014년, pp.8 - 22  

Nam, Hyohyun (School of Electrical and Computer Engineering, University of Seoul) ,  Lee, Gyo Sub (School of Electrical and Computer Engineering, University of Seoul) ,  Lee, Hyunjae (School of Electrical and Computer Engineering, University of Seoul) ,  Park, In Jun (School of Electrical and Computer Engineering, University of Seoul) ,  Shin, Changhwan (School of Electrical and Computer Engineering, University of Seoul)

Abstract AI-Helper 아이콘AI-Helper

In the past few decades, CMOS logic technologies and devices have been successfully developed with the steady miniaturization of the feature size. At the sub-30-nm CMOS technology nodes, one of the main hurdles for continuously and successfully scaling down CMOS devices is the parametric failure cau...

주제어

참고문헌 (41)

  1. G. E. Moore, "Cramming more components onto integrated circuits," Proceeding of the IEEE, vol. 86, no. 1, pp. 82-85, Jan. 1998. 

  2. International Technology Roadmap for Semiconductors (ITRS). [Online]. Available: http://public.itrs.net 

  3. X. Sun, Q. Lu, V. Moroz, et al., "Tri-gate bulk MOSFET design for CMOS scaling to the end of the roadmap," IEEE Electron Device Letters, vol. 29, no. 5, pp. 491-493, May 2008. 

  4. M. J. M. Pelgrom, A. Duinmaijer, and A. Welbers, "Matching properties of MOS transistors," IEEE Journal of Solid-State Circuits, vol. 24, no. 5, pp. 1433-1440, Oct. 1989. 

  5. K. J. Kuhn, "Reducing variation in advanced logic technologies: Approaches to process and design for manufacturability of nanoscale CMOS," in Proc. IEEE IEDM, Dec. 2007, pp. 471-474. 

  6. A. Asenov, S. Kaya, and A. R. Brown, "Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness," IEEE Transactions on Electron Devices, vol. 50, no. 5, pp. 1254-1260, May 2003. 

  7. A. Asenov, "Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 $\mu{m}$ MOSFETs: A 3-D "atomistic" simulation study," IEEE Transactions on Electron Devices, vol. 45, no. 12, pp. 2505-2513, Dec. 1998. 

  8. A. R. Brown, G. Roy, and A. Asenov, "Poly-Si- Gate-related variability in decananometer MOSFETs with conventional architecture," IEEE Transactions on Electron Devices, vol. 54, no. 11, pp. 3056-3063, Nov. 2007. 

  9. I. J. Park and C. Shin, "Effect of double-patterning and double-etching on the line-edge-roughness of multi-gate bulk MOSFETs," IEICE Electronics Express, vol. 10, no. 5, pp. 20130108, Mar. 2013. 

  10. Y. Zhao, "Characterization of amorphous and crystalline rough surface: principles and applications," Academic Press, San Diego, 2001. 

  11. C. Shin, N. Damrongplasit, X. Sun, and T.-J. K. Liu, "Quasi-planar bulk CMOS technology for 6-T SRAM at the 22-nm node," IEEE Transactions on Electron Devices, vol. 58, no. 7, pp. 1846-1854, Jul. 2011. 

  12. C. Shin, C. H. Tsai, M. H. Wu, et al., "Quasi-planar bulk CMOS technology for improved SRAM scalability," Solid-State Electronics, vol. 65-66, pp. 184-190, Nov.-Dec. 2011. 

  13. C. Shin, M. H. Cho, Y. Tsukamoto, et al., "Performance and area scaling benefits of FD-SOI technology for 6-T SRAM cells at the 22-nm node," IEEE Transactions on Electron Devices, vol. 57, no. 6, pp. 1301-1309, Jun. 2010. 

  14. C. Shin and I. J. Park, "Impact of using doublepatterning versus single patterning on threshold voltage (VTH) variation in quasi-planar tri-gate bulk MOSFETs," IEEE Electron Device Letters, vol. 34, no. 5, pp. 578-580, May 2013. 

  15. A. Asenov, "Simulation of statistical variability in nano MOSFETs," in Proc. VLSI Symp. Technol., Jun. 2007, pp. 86-87. 

  16. R. H. Dennard, F. H. Gaensslen, V. L. Rideout, et al., "Design of ion-implanted MOSFET's with very small physical dimensions," IEEE Journal of Solid- State Circuits, vol. 9, no. 5, pp. 256-268, Oct. 1974. 

  17. K. Bernstein, D. J. Frank, A. E. Gattiker, et al., "High-performance CMOS variability in the 65-nm regime and beyond," IBM Journal of Research and Development, vol. 50, no. 4.5, pp. 433-449, Jul. 2006. 

  18. H.-S. Wong and Y. Taur, "Three-dimensional "atomistic" simulation of discrete random dopant distribution effects in sub-0.1 ${\mu}m$ MOSFETs," in Proc. IEEE IEDM, Dec. 1993, pp. 705-708. 

  19. Y. Li, S.-M. Yu, J.-R. Hwang, F.-L. Yang, "Discrete dopant fluctuations in 20-nm/15-nm-gate planar CMOS," IEEE Transactions on Electron Devices, vol. 55, no. 6, pp. 1449-1455, Jun. 2008. 

  20. A. Asenov, G. Slavcheva, A. R. Brown, et al., "Increase in the random dopant induced threshold fluctuations and lowering in sub-100 nm MOSFETs due to quantum effects: a 3-D density-gradient simulation study," IEEE Transactions on Electron Devices, vol. 48, no. 4, pp. 722-729, Apr. 2001. 

  21. C. Shin, X. Sun, and T.-J. K. Liu, "Study of random-dopant-fluctuation (RDF) effects on the trigate bulk MOSFETs," IEEE Transactions on Electron Devices, vol. 56, no. 7, pp. 1538-1542, Jul. 2009. 

  22. D. Reid, C. Millar, G. Roy, S. Roy, and A. Asenov, "Analysis of threshold voltage distribution due to random dopants: A 100,000-sample 3-D simulation study," IEEE Transactions on Electron Devices, vol. 56, no. 10, pp. 2255-2263, Oct. 2009. 

  23. C. Auth, C. Allen, A. Blattner, et al., "A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, selfaligned contacts and high density MIM capacitors," in Proc. VLSI Symp. Technol., Jun. 2012. pp. 131- 132. 

  24. A. Khakifirooz, K. Cheng, T. Nagumo, et al., "Strain engineered extremely thin SOI (ETSOI) for high-performance CMOS," in Proc. VLSI Symp. Technol., Jun. 2012, pp. 117-118. 

  25. H. F. Dadgour, K. Endo, V. K. De and K. Banerjee, "Grain-orientation induced work function variation in nanoscale metal-gate transistors - Part I: modeling, analysis, and experimental validation," IEEE Transactions on Electron Devices, vol. 57, no. 10, pp. 2504-2514, Oct. 2010. 

  26. X. Wang, A. R. Brown, N. M. Idris, et al., "Statistical threshold-voltage variability in scaled decananometer bulk HKMG MOSFETs: a fullscale 3-D simulation scaling study," IEEE Transactions on Electron Devices, vol. 58, no. 8, pp. 2293-2301, Aug. 2011. 

  27. H. Nam and C. Shin, "Comparative study in workfunction variation: Gaussian vs. Rayleigh distribution for grain size," IEICE Electronics Express, vol. 10, no. 9, pp. 20130109, May 2013. 

  28. H. Nam and C. Shin, "Study of high-k/metal-gate work-function variation using Rayleigh distribution," IEEE Electron Device Letters, vol. 34, no. 4, pp. 532-535, Apr. 2013. 

  29. H. Nam and C. Shin, "Study of high-k/metal-gate work function variation in FinFET: the modified RGG concept," IEEE Electron Device Letters, vol. 34, no. 12, pp. 1560-1562, Dec. 2013. 

  30. K. Ohmori, T. Matsuki, D. Ishikawa, et al., "Impact of additional factors in threshold voltage variability of metal/high-k gate stacks and its reduction by controlling crystalline structure and grain size in the metal gates," in Proc. IEEE IEDM, Dec. 2008, pp. 409-412. 

  31. B. Ho, N. Xu, B. Wood, et al., "Segmented-channel $Si_{1?x}Ge_x/Si$ pMOSFET for improved ION and reduced variability," in Proc. VLSI Symp. Technol., Jun. 2012, pp.167-168. 

  32. B. Ho, N. Xu, B. Wood, et al., "Fabrication of $Si_{1?x}Ge_x/Si$ pMOSFETs Using Corrugated Substrates for Improved ION and Reduced Layout- Width Dependence," IEEE Trans. Electron Devices, vol.60, no.1, pp.153-158, Jan. 2013. 

  33. H. Nam and C. Shin, "The design optimization and variation study of segmented-channel MOSFET using HfO2 or SiO2 trench isolation, " in Proc. IEEE VLSI-TSA, Apr. 2013, pp. 22-24. 

  34. N. Sano, K. Matsuzawa, M. Mukai, and N. Nakayama, "Role of long-range and short-range coulomb potentials in threshold characteristics under discrete dopants in sub-0.1 um Si- MOSFETs," in Proc. IEEE IEDM, Dec. 2000, pp. 275-278. 

  35. J. P. Colinge, C. W. Lee, A. Afzalian, et al., "Nanowire transistors without junctions," Nature Nanotechnology, vol 5, pp. 225-229, Mar. 2010. 

  36. C. W. Lee, I. Ferain, A. Afzalian, et al., "Performance estimation of junctionless multigate transistors," Solid-State Electronics, vol. 54, issue 2, pp. 97-103, Feb. 2010. 

  37. C. H. Park, M. D. Ko, K. H. Kim, et al., "Electrical characteristics of 20-nm junctionless Si nanowire transistors," Solid-State Electronics, vol. 73, pp. 7- 10, Jul. 2012. 

  38. M. Aldegunde, A. Martinez, and J. R. Barker, "Study of discrete doping induced variability in junctionless nanowire MOSFETs using dissipative quantum transport simulations," IEEE Electron Device Lett., vol. 33, no. 2, pp. 194-196, Feb. 2012. 

  39. G. Leung and C. O. Chui, "Variability impact of random dopant fluctuation on nanoscale junctionless FinFETs," IEEE Electron Device Lett., vol. 33, no. 6, pp 767 - 769, Jun. 2012. 

  40. A. E. Carlson, "Device and circuit techniques for reducing variation in nanoscale SRAM," Ph. D. dissertation, Dept. EECS Univ. California Berkeley, Berkeley, CA, 2008. 

  41. Z. Guo, A. Carlson, L.-T. Pang, K. Duong, T.-J. K. Liu, and B. Nikolic, " Large-scale SRAM variability characterization in 45 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3174- 3192, Nov. 2009. 

LOADING...

관련 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로